ISO-10303-21; HEADER; FILE_DESCRIPTION((''),'2;1'); FILE_NAME('ZBFT41','2017-04-26T',('keith.feeley'),(''), 'CREO PARAMETRIC BY PTC INC, 2015380','CREO PARAMETRIC BY PTC INC, 2015380',''); FILE_SCHEMA(('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); ENDSEC; DATA; #18=CARTESIAN_POINT('',(0.E0,1.287858708565E-13,0.E0)); #19=DIRECTION('',(0.E0,-1.E0,0.E0)); #20=DIRECTION('',(-9.981937551011E-1,0.E0,-6.007684476663E-2)); #21=AXIS2_PLACEMENT_3D('',#18,#19,#20); #23=CARTESIAN_POINT('',(0.E0,1.287858708565E-13,0.E0)); #24=DIRECTION('',(0.E0,-1.E0,0.E0)); #25=DIRECTION('',(1.E0,0.E0,0.E0)); #26=AXIS2_PLACEMENT_3D('',#23,#24,#25); #28=CARTESIAN_POINT('',(0.E0,-3.635980405647E-14,0.E0)); #29=DIRECTION('',(0.E0,1.E0,0.E0)); #30=DIRECTION('',(-9.965146477021E-1,0.E0,8.341796518268E-2)); #31=AXIS2_PLACEMENT_3D('',#28,#29,#30); #33=CARTESIAN_POINT('',(0.E0,-3.635980405647E-14,0.E0)); #34=DIRECTION('',(0.E0,1.E0,0.E0)); #35=DIRECTION('',(9.999760337048E-1,0.E0,-6.923295167960E-3)); #36=AXIS2_PLACEMENT_3D('',#33,#34,#35); #38=CARTESIAN_POINT('',(-2.541784560107E1,0.E0,-1.4675E1)); #39=DIRECTION('',(0.E0,1.E0,0.E0)); #40=DIRECTION('',(-1.E0,0.E0,0.E0)); #41=AXIS2_PLACEMENT_3D('',#38,#39,#40); #43=CARTESIAN_POINT('',(-2.541784560107E1,0.E0,-1.4675E1)); #44=DIRECTION('',(0.E0,1.E0,0.E0)); #45=DIRECTION('',(1.E0,0.E0,0.E0)); #46=AXIS2_PLACEMENT_3D('',#43,#44,#45); #48=CARTESIAN_POINT('',(-2.541784560107E1,0.E0,1.4675E1)); #49=DIRECTION('',(0.E0,1.E0,0.E0)); #50=DIRECTION('',(-5.E-1,0.E0,8.660254037844E-1)); #51=AXIS2_PLACEMENT_3D('',#48,#49,#50); #53=CARTESIAN_POINT('',(-2.541784560107E1,0.E0,1.4675E1)); #54=DIRECTION('',(0.E0,1.E0,0.E0)); #55=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); #56=AXIS2_PLACEMENT_3D('',#53,#54,#55); #58=CARTESIAN_POINT('',(0.E0,0.E0,2.935E1)); #59=DIRECTION('',(0.E0,1.E0,0.E0)); #60=DIRECTION('',(5.E-1,0.E0,8.660254037844E-1)); #61=AXIS2_PLACEMENT_3D('',#58,#59,#60); #63=CARTESIAN_POINT('',(0.E0,0.E0,2.935E1)); #64=DIRECTION('',(0.E0,1.E0,0.E0)); #65=DIRECTION('',(-5.E-1,0.E0,-8.660254037844E-1)); #66=AXIS2_PLACEMENT_3D('',#63,#64,#65); #68=CARTESIAN_POINT('',(2.541784560107E1,0.E0,1.4675E1)); #69=DIRECTION('',(0.E0,1.E0,0.E0)); #70=DIRECTION('',(1.E0,0.E0,0.E0)); #71=AXIS2_PLACEMENT_3D('',#68,#69,#70); #73=CARTESIAN_POINT('',(2.541784560107E1,0.E0,1.4675E1)); #74=DIRECTION('',(0.E0,1.E0,0.E0)); #75=DIRECTION('',(-1.E0,0.E0,0.E0)); #76=AXIS2_PLACEMENT_3D('',#73,#74,#75); #78=CARTESIAN_POINT('',(2.541784560107E1,0.E0,-1.4675E1)); #79=DIRECTION('',(0.E0,1.E0,0.E0)); #80=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); #81=AXIS2_PLACEMENT_3D('',#78,#79,#80); #83=CARTESIAN_POINT('',(2.541784560107E1,0.E0,-1.4675E1)); #84=DIRECTION('',(0.E0,1.E0,0.E0)); #85=DIRECTION('',(-5.E-1,0.E0,8.660254037844E-1)); #86=AXIS2_PLACEMENT_3D('',#83,#84,#85); #88=CARTESIAN_POINT('',(0.E0,0.E0,-2.935E1)); #89=DIRECTION('',(0.E0,1.E0,0.E0)); #90=DIRECTION('',(-5.E-1,0.E0,-8.660254037844E-1)); #91=AXIS2_PLACEMENT_3D('',#88,#89,#90); #93=CARTESIAN_POINT('',(0.E0,0.E0,-2.935E1)); #94=DIRECTION('',(0.E0,1.E0,0.E0)); #95=DIRECTION('',(5.E-1,0.E0,8.660254037844E-1)); #96=AXIS2_PLACEMENT_3D('',#93,#94,#95); #98=CARTESIAN_POINT('',(-3.388867672309E1,-1.368936591772E-5, -2.039593755518E0)); #99=CARTESIAN_POINT('',(-3.391392567444E1,-1.368936563514E-5, -2.039593755519E0)); #100=CARTESIAN_POINT('',(-3.396447699348E1,-1.907454996242E-3, -2.037552748530E0)); #101=CARTESIAN_POINT('',(-3.404041289382E1,-1.041501720032E-2, -2.028338376060E0)); #102=CARTESIAN_POINT('',(-3.411665804949E1,-2.485245172835E-2, -2.012523828955E0)); #103=CARTESIAN_POINT('',(-3.419324969928E1,-4.534508724836E-2, -1.989682840481E0)); #104=CARTESIAN_POINT('',(-3.426876338182E1,-7.175255289203E-2, -1.959541547303E0)); #105=CARTESIAN_POINT('',(-3.434264532360E1,-1.039712365691E-1, -1.921644517706E0)); #106=CARTESIAN_POINT('',(-3.441533838880E1,-1.424225332057E-1, -1.874706719463E0)); #107=CARTESIAN_POINT('',(-3.448635852567E1,-1.870988603284E-1, -1.817634395113E0)); #108=CARTESIAN_POINT('',(-3.455412520145E1,-2.372192963202E-1, -1.750045873617E0)); #109=CARTESIAN_POINT('',(-3.461794041145E1,-2.923608780982E-1, -1.670831158151E0)); #110=CARTESIAN_POINT('',(-3.467759590016E1,-3.523544592749E-1, -1.578013133218E0)); #111=CARTESIAN_POINT('',(-3.473268304216E1,-4.168320656702E-1, -1.469108889606E0)); #112=CARTESIAN_POINT('',(-3.478232499353E1,-4.845601778105E-1, -1.341957105338E0)); #113=CARTESIAN_POINT('',(-3.482489705902E1,-5.524950784803E-1, -1.197131258823E0)); #114=CARTESIAN_POINT('',(-3.485993542779E1,-6.182126079082E-1, -1.033942823757E0)); #115=CARTESIAN_POINT('',(-3.488755753609E1,-6.791351927771E-1, -8.508286956134E-1)); #116=CARTESIAN_POINT('',(-3.490760707237E1,-7.308465923696E-1, -6.518540675560E-1)); #117=CARTESIAN_POINT('',(-3.492082285059E1,-7.700775693518E-1, -4.409549212980E-1)); #118=CARTESIAN_POINT('',(-3.492820905730E1,-7.944422995607E-1, -2.226283946366E-1)); #119=CARTESIAN_POINT('',(-3.492979100790E1,-7.999831412473E-1, -7.499507594448E-2)); #120=CARTESIAN_POINT('',(-3.492979587494E1,-7.999999235078E-1, -6.774541354220E-4)); #122=DIRECTION('',(9.999999999995E-1,7.186871792892E-7,-7.000207060225E-7)); #123=VECTOR('',#122,9.523896814379E0); #124=CARTESIAN_POINT('',(-3.388867672309E1,-1.368936591772E-5, -2.039593755518E0)); #125=LINE('',#124,#123); #126=DIRECTION('',(-9.999999999995E-1,-6.775255449534E-7,6.909043699195E-7)); #127=VECTOR('',#126,9.523897320323E0); #128=CARTESIAN_POINT('',(-2.436477990871E1,-3.136380044566E-14, 2.039594863136E0)); #129=LINE('',#128,#127); #130=CARTESIAN_POINT('',(-3.388867722903E1,-6.452683753396E-6, 2.039601443239E0)); #131=CARTESIAN_POINT('',(-3.391452647635E1,-6.452683445756E-6, 2.039601443239E0)); #132=CARTESIAN_POINT('',(-3.396609094446E1,-1.984883525978E-3, 2.037468266286E0)); #133=CARTESIAN_POINT('',(-3.404145582848E1,-1.044179497704E-2, 2.028312169240E0)); #134=CARTESIAN_POINT('',(-3.411960579091E1,-2.555520225463E-2, 2.011745171342E0)); #135=CARTESIAN_POINT('',(-3.419203158705E1,-4.518246031296E-2, 1.989862562459E0)); #136=CARTESIAN_POINT('',(-3.426666497967E1,-7.113332636790E-2, 1.960241796668E0)); #137=CARTESIAN_POINT('',(-3.433780078684E1,-1.016726037377E-1, 1.924392542228E0)); #138=CARTESIAN_POINT('',(-3.441054331562E1,-1.396836675209E-1, 1.878066371446E0)); #139=CARTESIAN_POINT('',(-3.447577572404E1,-1.799784716157E-1, 1.826892394449E0)); #140=CARTESIAN_POINT('',(-3.454337672281E1,-2.288731658195E-1, 1.761491732911E0)); #141=CARTESIAN_POINT('',(-3.460089697152E1,-2.771599515612E-1, 1.693049211184E0)); #142=CARTESIAN_POINT('',(-3.466020088554E1,-3.339888862332E-1, 1.607240003045E0)); #143=CARTESIAN_POINT('',(-3.471517107837E1,-3.954845492606E-1, 1.505996003489E0)); #144=CARTESIAN_POINT('',(-3.476124923828E1,-4.547308835436E-1, 1.399535113899E0)); #145=CARTESIAN_POINT('',(-3.480659237017E1,-5.220943541137E-1, 1.264130390030E0)); #146=CARTESIAN_POINT('',(-3.484107349765E1,-5.819144520451E-1, 1.126398874500E0)); #147=CARTESIAN_POINT('',(-3.487060177561E1,-6.407089491881E-1, 9.703414622330E-1)); #148=CARTESIAN_POINT('',(-3.489491521892E1,-6.973826914358E-1, 7.858269818413E-1)); #149=CARTESIAN_POINT('',(-3.491082411834E1,-7.402544901941E-1, 6.050295642734E-1)); #150=CARTESIAN_POINT('',(-3.492159045263E1,-7.725859815769E-1, 4.201146501396E-1)); #151=CARTESIAN_POINT('',(-3.492832609227E1,-7.946295714728E-1, 2.167602594319E-1)); #152=CARTESIAN_POINT('',(-3.492980071520E1,-8.000166134650E-1, 7.323141706575E-2)); #153=CARTESIAN_POINT('',(-3.492979587494E1,-7.999999235078E-1, -6.774541354220E-4)); #155=CARTESIAN_POINT('',(-2.409299690781E1,-3.E-1,-1.658312395178E0)); #156=CARTESIAN_POINT('',(-2.409207538497E1,-2.911191011770E-1, -1.671700853903E0)); #157=CARTESIAN_POINT('',(-2.409106368494E1,-2.736607941519E-1, -1.697553631797E0)); #158=CARTESIAN_POINT('',(-2.409181574347E1,-2.485050179397E-1, -1.733616588352E0)); #159=CARTESIAN_POINT('',(-2.409462233105E1,-2.245001423597E-1, -1.767009643575E0)); #160=CARTESIAN_POINT('',(-2.409925669331E1,-2.017914818091E-1, -1.797734083276E0)); #161=CARTESIAN_POINT('',(-2.410550063066E1,-1.804341559341E-1, -1.825901531945E0)); #162=CARTESIAN_POINT('',(-2.411313503885E1,-1.604874730990E-1, -1.851599985486E0)); #163=CARTESIAN_POINT('',(-2.412197464213E1,-1.419298534575E-1, -1.875002955701E0)); #164=CARTESIAN_POINT('',(-2.413183130615E1,-1.247680407944E-1, -1.896227792307E0)); #165=CARTESIAN_POINT('',(-2.414256233296E1,-1.089438765047E-1,-1.915454422E0)); #166=CARTESIAN_POINT('',(-2.415401306649E1,-9.443786422088E-2, -1.932798270256E0)); #167=CARTESIAN_POINT('',(-2.416608342708E1,-8.117464706072E-2, -1.948427149665E0)); #168=CARTESIAN_POINT('',(-2.417864692713E1,-6.912554142487E-2, -1.962440200290E0)); #169=CARTESIAN_POINT('',(-2.419164076634E1,-5.821068374464E-2, -1.974985269787E0)); #170=CARTESIAN_POINT('',(-2.420496958203E1,-4.839396316670E-2, -1.986149565206E0)); #171=CARTESIAN_POINT('',(-2.421859896636E1,-3.960296563267E-2, -1.996053645142E0)); #172=CARTESIAN_POINT('',(-2.423246363700E1,-3.179966303810E-2, -2.004771866738E0)); #173=CARTESIAN_POINT('',(-2.424654567002E1,-2.492720278769E-2, -2.012393930311E0)); #174=CARTESIAN_POINT('',(-2.426079551477E1,-1.895459127365E-2, -2.018975729883E0)); #175=CARTESIAN_POINT('',(-2.427521245119E1,-1.383679808683E-2, -2.024584575311E0)); #176=CARTESIAN_POINT('',(-2.428976994098E1,-9.548091615730E-3, -2.029262955506E0)); #177=CARTESIAN_POINT('',(-2.430447899385E1,-6.059129210232E-3, -2.033054350069E0)); #178=CARTESIAN_POINT('',(-2.431931762546E1,-3.355916082961E-3, -2.035982935150E0)); #179=CARTESIAN_POINT('',(-2.433431622375E1,-1.424319398934E-3, -2.038070810998E0)); #180=CARTESIAN_POINT('',(-2.434945208850E1,-2.577972918036E-4, -2.039329788332E0)); #181=CARTESIAN_POINT('',(-2.435965324068E1,-6.844663245806E-6, -2.039600422444E0)); #182=CARTESIAN_POINT('',(-2.436477990871E1,-6.844663380356E-6, -2.039600422443E0)); #184=CARTESIAN_POINT('',(-2.436477990871E1,-3.136380044566E-14, 2.039594863136E0)); #185=CARTESIAN_POINT('',(-2.435965353116E1,1.532113244037E-13, 2.039594863137E0)); #186=CARTESIAN_POINT('',(-2.434945310095E1,-2.609575338368E-4, 2.039332385977E0)); #187=CARTESIAN_POINT('',(-2.433431948222E1,-1.423155984181E-3, 2.038070456979E0)); #188=CARTESIAN_POINT('',(-2.431932272762E1,-3.355443079300E-3, 2.035983877881E0)); #189=CARTESIAN_POINT('',(-2.430448984102E1,-6.056822231771E-3, 2.033056737139E0)); #190=CARTESIAN_POINT('',(-2.428978066039E1,-9.545386477450E-3, 2.029265927991E0)); #191=CARTESIAN_POINT('',(-2.427523359439E1,-1.383002994944E-2, 2.024591964402E0)); #192=CARTESIAN_POINT('',(-2.426081580752E1,-1.894685057722E-2, 2.018984232101E0)); #193=CARTESIAN_POINT('',(-2.424657596890E1,-2.491363408112E-2, 2.012408921097E0)); #194=CARTESIAN_POINT('',(-2.423249450473E1,-3.178355084849E-2, 2.004789793028E0)); #195=CARTESIAN_POINT('',(-2.421863694422E1,-3.958030285899E-2, 1.996079047897E0)); #196=CARTESIAN_POINT('',(-2.420500919798E1,-4.836652682196E-2, 1.986180626227E0)); #197=CARTESIAN_POINT('',(-2.419167604373E1,-5.818317399121E-2, 1.975016686329E0)); #198=CARTESIAN_POINT('',(-2.417868987667E1,-6.908689126622E-2, 1.962484892903E0)); #199=CARTESIAN_POINT('',(-2.416611496986E1,-8.114238782304E-2, 1.948464880452E0)); #200=CARTESIAN_POINT('',(-2.415405166589E1,-9.439216029855E-2, 1.932852539993E0)); #201=CARTESIAN_POINT('',(-2.414258476600E1,-1.089129850355E-1, 1.915491663898E0)); #202=CARTESIAN_POINT('',(-2.413185695349E1,-1.247268137791E-1, 1.896278354888E0)); #203=CARTESIAN_POINT('',(-2.412198731310E1,-1.419050700569E-1, 1.875033965399E0)); #204=CARTESIAN_POINT('',(-2.411314753365E1,-1.604581634159E-1, 1.851637365767E0)); #205=CARTESIAN_POINT('',(-2.410550644630E1,-1.804161717587E-1, 1.825925042168E0)); #206=CARTESIAN_POINT('',(-2.409926186312E1,-2.017709461807E-1, 1.797761479382E0)); #207=CARTESIAN_POINT('',(-2.409462508822E1,-2.244817872254E-1, 1.767034892448E0)); #208=CARTESIAN_POINT('',(-2.409181634417E1,-2.484935431791E-1, 1.733632905505E0)); #209=CARTESIAN_POINT('',(-2.409106344794E1,-2.736570488471E-1, 1.697559186137E0)); #210=CARTESIAN_POINT('',(-2.409207527787E1,-2.911180690798E-1, 1.671702409848E0)); #211=CARTESIAN_POINT('',(-2.409299690781E1,-3.E-1,1.658312395178E0)); #213=CARTESIAN_POINT('',(0.E0,-3.E-1,0.E0)); #214=DIRECTION('',(0.E0,-1.E0,0.E0)); #215=DIRECTION('',(1.E0,0.E0,0.E0)); #216=AXIS2_PLACEMENT_3D('',#213,#214,#215); #218=CARTESIAN_POINT('',(-2.409299690781E1,-3.E-1,1.658312395178E0)); #219=CARTESIAN_POINT('',(-2.409714959521E1,-3.400202738582E-1, 1.597979562271E0)); #220=CARTESIAN_POINT('',(-2.410518888492E1,-4.156655474251E-1, 1.474545847709E0)); #221=CARTESIAN_POINT('',(-2.411625144311E1,-5.154927117894E-1, 1.281435247818E0)); #222=CARTESIAN_POINT('',(-2.412618483690E1,-6.021819772847E-1, 1.078760058642E0)); #223=CARTESIAN_POINT('',(-2.413462497821E1,-6.738983270283E-1, 8.704947019383E-1)); #224=CARTESIAN_POINT('',(-2.414158607948E1,-7.314451706980E-1, 6.502042856458E-1)); #225=CARTESIAN_POINT('',(-2.414649580212E1,-7.717171780084E-1, 4.302076818931E-1)); #226=CARTESIAN_POINT('',(-2.414937266556E1,-7.950788222804E-1, 2.138615418230E-1)); #227=CARTESIAN_POINT('',(-2.415E1,-8.E-1,7.099767163373E-2)); #228=CARTESIAN_POINT('',(-2.415E1,-8.E-1,0.E0)); #230=CARTESIAN_POINT('',(0.E0,-3.E-1,0.E0)); #231=DIRECTION('',(0.E0,-1.E0,0.E0)); #232=DIRECTION('',(-9.976396235120E-1,0.E0,-6.866717992454E-2)); #233=AXIS2_PLACEMENT_3D('',#230,#231,#232); #235=CARTESIAN_POINT('',(0.E0,-3.E-1,0.E0)); #236=DIRECTION('',(0.E0,-1.E0,0.E0)); #237=DIRECTION('',(9.999760337048E-1,0.E0,-6.923295167960E-3)); #238=AXIS2_PLACEMENT_3D('',#235,#236,#237); #240=DIRECTION('',(2.043931547456E-13,-1.E0,3.138546355135E-14)); #241=VECTOR('',#240,8.690882244130E-1); #242=CARTESIAN_POINT('',(2.415E1,-3.E-1,-1.950950439229E-14)); #243=LINE('',#242,#241); #244=DIRECTION('',(-8.884473978404E-12,1.E0,0.E0)); #245=VECTOR('',#244,3.690882244130E-1); #246=CARTESIAN_POINT('',(-2.415E1,-1.169088224413E0,0.E0)); #247=LINE('',#246,#245); #248=CARTESIAN_POINT('',(-2.415E1,-8.E-1,0.E0)); #249=CARTESIAN_POINT('',(-2.415E1,-8.E-1,-7.082435190898E-2)); #250=CARTESIAN_POINT('',(-2.414937678161E1,-7.950659380061E-1, -2.133218403321E-1)); #251=CARTESIAN_POINT('',(-2.414650778538E1,-7.717962176103E-1, -4.293780484937E-1)); #252=CARTESIAN_POINT('',(-2.414163518124E1,-7.319793079860E-1, -6.483870380761E-1)); #253=CARTESIAN_POINT('',(-2.413465997952E1,-6.741819484152E-1, -8.695466940282E-1)); #254=CARTESIAN_POINT('',(-2.412624436564E1,-6.025569405349E-1, -1.077455436560E0)); #255=CARTESIAN_POINT('',(-2.411625051615E1,-5.155147703152E-1, -1.281506223814E0)); #256=CARTESIAN_POINT('',(-2.410518114831E1,-4.156502781908E-1, -1.474660459265E0)); #257=CARTESIAN_POINT('',(-2.409714453663E1,-3.399715233155E-1, -1.598053056479E0)); #258=CARTESIAN_POINT('',(-2.409299690781E1,-3.E-1,-1.658312395178E0)); #260=CARTESIAN_POINT('',(0.E0,-1.169088224413E0,0.E0)); #261=DIRECTION('',(0.E0,-1.E0,0.E0)); #262=DIRECTION('',(-1.E0,0.E0,0.E0)); #263=AXIS2_PLACEMENT_3D('',#260,#261,#262); #265=CARTESIAN_POINT('',(-2.374999999999E1,-1.169088224413E0,0.E0)); #266=DIRECTION('',(0.E0,0.E0,1.E0)); #267=DIRECTION('',(-1.E0,-4.773959005889E-14,0.E0)); #268=AXIS2_PLACEMENT_3D('',#265,#266,#267); #270=CARTESIAN_POINT('',(2.374999999999E1,-1.169088224413E0,0.E0)); #271=DIRECTION('',(0.E0,0.E0,1.E0)); #272=DIRECTION('',(-3.420201433110E-1,-9.396926207913E-1,0.E0)); #273=AXIS2_PLACEMENT_3D('',#270,#271,#272); #275=CARTESIAN_POINT('',(0.E0,-1.169088224413E0,0.E0)); #276=DIRECTION('',(0.E0,-1.E0,0.E0)); #277=DIRECTION('',(1.E0,0.E0,0.E0)); #278=AXIS2_PLACEMENT_3D('',#275,#276,#277); #280=CARTESIAN_POINT('',(0.E0,-1.544965272727E0,0.E0)); #281=DIRECTION('',(0.E0,-1.E0,0.E0)); #282=DIRECTION('',(1.E0,0.E0,0.E0)); #283=AXIS2_PLACEMENT_3D('',#280,#281,#282); #285=DIRECTION('',(-9.396926207857E-1,-3.420201433262E-1,0.E0)); #286=VECTOR('',#285,2.714259610519E0); #287=CARTESIAN_POINT('',(-2.106262221577E1,-6.166338117137E-1,0.E0)); #288=LINE('',#287,#286); #289=CARTESIAN_POINT('',(0.E0,-1.544965272727E0,0.E0)); #290=DIRECTION('',(0.E0,-1.E0,0.E0)); #291=DIRECTION('',(-1.E0,0.E0,0.E0)); #292=AXIS2_PLACEMENT_3D('',#289,#290,#291); #294=DIRECTION('',(-9.396926207857E-1,3.420201433262E-1,0.E0)); #295=VECTOR('',#294,2.714259610518E0); #296=CARTESIAN_POINT('',(2.361319194267E1,-1.544965272728E0,0.E0)); #297=LINE('',#296,#295); #298=CARTESIAN_POINT('',(0.E0,-6.166338117146E-1,0.E0)); #299=DIRECTION('',(0.E0,-1.E0,0.E0)); #300=DIRECTION('',(-1.E0,0.E0,0.E0)); #301=AXIS2_PLACEMENT_3D('',#298,#299,#300); #303=CARTESIAN_POINT('',(-2.1025E1,-7.2E-1,0.E0)); #304=DIRECTION('',(0.E0,0.E0,-1.E0)); #305=DIRECTION('',(-3.420201433194E-1,9.396926207882E-1,0.E0)); #306=AXIS2_PLACEMENT_3D('',#303,#304,#305); #308=CARTESIAN_POINT('',(2.1025E1,-7.2E-1,0.E0)); #309=DIRECTION('',(0.E0,0.E0,-1.E0)); #310=DIRECTION('',(-7.660444431104E-1,6.427876096967E-1,0.E0)); #311=AXIS2_PLACEMENT_3D('',#308,#309,#310); #313=CARTESIAN_POINT('',(0.E0,-6.166338117146E-1,0.E0)); #314=DIRECTION('',(0.E0,-1.E0,0.E0)); #315=DIRECTION('',(1.E0,0.E0,0.E0)); #316=AXIS2_PLACEMENT_3D('',#313,#314,#315); #318=CARTESIAN_POINT('',(0.E0,-6.492933629334E-1,0.E0)); #319=DIRECTION('',(0.E0,-1.E0,0.E0)); #320=DIRECTION('',(1.E0,0.E0,0.E0)); #321=AXIS2_PLACEMENT_3D('',#318,#319,#320); #323=DIRECTION('',(-6.427876096875E-1,7.660444431182E-1,0.E0)); #324=VECTOR('',#323,5.323733950488E-1); #325=CARTESIAN_POINT('',(-2.059853208919E1,-1.057115043876E0,0.E0)); #326=LINE('',#325,#324); #327=CARTESIAN_POINT('',(0.E0,-6.492933629334E-1,0.E0)); #328=DIRECTION('',(0.E0,-1.E0,0.E0)); #329=DIRECTION('',(-1.E0,0.E0,0.E0)); #330=AXIS2_PLACEMENT_3D('',#327,#328,#329); #332=DIRECTION('',(-6.427876096875E-1,-7.660444431182E-1,0.E0)); #333=VECTOR('',#332,5.323733950500E-1); #334=CARTESIAN_POINT('',(2.094073511126E1,-6.492933629337E-1,0.E0)); #335=LINE('',#334,#333); #336=CARTESIAN_POINT('',(0.E0,-1.057115043878E0,0.E0)); #337=DIRECTION('',(0.E0,-1.E0,0.E0)); #338=DIRECTION('',(-1.E0,0.E0,0.E0)); #339=AXIS2_PLACEMENT_3D('',#336,#337,#338); #341=CARTESIAN_POINT('',(0.E0,-1.199974621160E0,0.E0)); #342=DIRECTION('',(0.E0,-1.E0,0.E0)); #343=DIRECTION('',(-1.E0,0.E0,-1.224273419369E-11)); #344=AXIS2_PLACEMENT_3D('',#341,#342,#343); #346=CARTESIAN_POINT('',(0.E0,-1.5E0,0.E0)); #347=DIRECTION('',(0.E0,-1.E0,0.E0)); #348=DIRECTION('',(-1.E0,0.E0,0.E0)); #349=AXIS2_PLACEMENT_3D('',#346,#347,#348); #351=CARTESIAN_POINT('',(0.E0,-4.8E0,0.E0)); #352=DIRECTION('',(0.E0,1.E0,0.E0)); #353=DIRECTION('',(1.E0,0.E0,-5.288060104042E-12)); #354=AXIS2_PLACEMENT_3D('',#351,#352,#353); #356=CARTESIAN_POINT('',(0.E0,-4.6E0,0.E0)); #357=DIRECTION('',(0.E0,-1.E0,0.E0)); #358=DIRECTION('',(-1.E0,0.E0,0.E0)); #359=AXIS2_PLACEMENT_3D('',#356,#357,#358); #361=CARTESIAN_POINT('',(0.E0,-4.8E0,0.E0)); #362=DIRECTION('',(0.E0,1.E0,0.E0)); #363=DIRECTION('',(0.E0,0.E0,1.E0)); #364=AXIS2_PLACEMENT_3D('',#361,#362,#363); #366=CARTESIAN_POINT('',(0.E0,-4.8E0,0.E0)); #367=DIRECTION('',(0.E0,1.E0,0.E0)); #368=DIRECTION('',(0.E0,0.E0,-1.E0)); #369=AXIS2_PLACEMENT_3D('',#366,#367,#368); #371=CARTESIAN_POINT('',(0.E0,-6.3E1,0.E0)); #372=DIRECTION('',(0.E0,1.E0,0.E0)); #373=DIRECTION('',(0.E0,0.E0,-1.E0)); #374=AXIS2_PLACEMENT_3D('',#371,#372,#373); #376=CARTESIAN_POINT('',(0.E0,-6.3E1,0.E0)); #377=DIRECTION('',(0.E0,1.E0,0.E0)); #378=DIRECTION('',(0.E0,0.E0,1.E0)); #379=AXIS2_PLACEMENT_3D('',#376,#377,#378); #381=CARTESIAN_POINT('',(0.E0,-6.3E1,0.E0)); #382=DIRECTION('',(0.E0,-1.E0,0.E0)); #383=DIRECTION('',(0.E0,0.E0,-1.E0)); #384=AXIS2_PLACEMENT_3D('',#381,#382,#383); #386=CARTESIAN_POINT('',(0.E0,-6.3E1,0.E0)); #387=DIRECTION('',(0.E0,-1.E0,0.E0)); #388=DIRECTION('',(0.E0,0.E0,1.E0)); #389=AXIS2_PLACEMENT_3D('',#386,#387,#388); #391=CARTESIAN_POINT('',(0.E0,-4.8E0,0.E0)); #392=DIRECTION('',(0.E0,1.E0,0.E0)); #393=DIRECTION('',(1.E0,0.E0,0.E0)); #394=AXIS2_PLACEMENT_3D('',#391,#392,#393); #396=CARTESIAN_POINT('',(0.E0,-4.8E0,0.E0)); #397=DIRECTION('',(0.E0,1.E0,0.E0)); #398=DIRECTION('',(-1.E0,0.E0,0.E0)); #399=AXIS2_PLACEMENT_3D('',#396,#397,#398); #401=CARTESIAN_POINT('',(0.E0,-4.8E0,0.E0)); #402=DIRECTION('',(0.E0,1.E0,0.E0)); #403=DIRECTION('',(0.E0,0.E0,-1.E0)); #404=AXIS2_PLACEMENT_3D('',#401,#402,#403); #406=CARTESIAN_POINT('',(0.E0,-4.8E0,0.E0)); #407=DIRECTION('',(0.E0,1.E0,0.E0)); #408=DIRECTION('',(0.E0,0.E0,1.E0)); #409=AXIS2_PLACEMENT_3D('',#406,#407,#408); #411=CARTESIAN_POINT('',(-2.07E1,-5.6E0,0.E0)); #412=DIRECTION('',(0.E0,0.E0,1.E0)); #413=DIRECTION('',(-3.930189507173E-12,1.E0,0.E0)); #414=AXIS2_PLACEMENT_3D('',#411,#412,#413); #416=CARTESIAN_POINT('',(2.07E1,-5.6E0,0.E0)); #417=DIRECTION('',(0.E0,0.E0,1.E0)); #418=DIRECTION('',(8.480480961590E-1,-5.299192642291E-1,0.E0)); #419=AXIS2_PLACEMENT_3D('',#416,#417,#418); #421=CARTESIAN_POINT('',(0.E0,-6.023935411384E0,0.E0)); #422=DIRECTION('',(0.E0,-1.E0,0.E0)); #423=DIRECTION('',(1.E0,0.E0,0.E0)); #424=AXIS2_PLACEMENT_3D('',#421,#422,#423); #426=CARTESIAN_POINT('',(0.E0,-7.109663931840E0,0.E0)); #427=DIRECTION('',(0.E0,-1.E0,0.E0)); #428=DIRECTION('',(1.E0,0.E0,0.E0)); #429=AXIS2_PLACEMENT_3D('',#426,#427,#428); #431=DIRECTION('',(5.211180832595E-14,-1.E0,0.E0)); #432=VECTOR('',#431,5.590336068161E0); #433=CARTESIAN_POINT('',(2.07E1,-7.109663931839E0,0.E0)); #434=LINE('',#433,#432); #435=DIRECTION('',(5.211180832595E-14,1.E0,5.194966974470E-12)); #436=VECTOR('',#435,5.590336068161E0); #437=CARTESIAN_POINT('',(-2.07E1,-1.27E1,-2.903907623141E-11)); #438=LINE('',#437,#436); #439=CARTESIAN_POINT('',(0.E0,-7.109663931838E0,0.E0)); #440=DIRECTION('',(0.E0,1.E0,0.E0)); #441=DIRECTION('',(1.E0,0.E0,0.E0)); #442=AXIS2_PLACEMENT_3D('',#439,#440,#441); #444=DIRECTION('',(-5.299192642332E-1,8.480480961564E-1,0.E0)); #445=VECTOR('',#444,1.280267623235E0); #446=CARTESIAN_POINT('',(-2.07E1,-7.109663931839E0,0.E0)); #447=LINE('',#446,#445); #448=CARTESIAN_POINT('',(0.E0,-6.023935411384E0,0.E0)); #449=DIRECTION('',(0.E0,-1.E0,0.E0)); #450=DIRECTION('',(-1.E0,0.E0,0.E0)); #451=AXIS2_PLACEMENT_3D('',#448,#449,#450); #453=DIRECTION('',(-5.299192642332E-1,-8.480480961564E-1,0.E0)); #454=VECTOR('',#453,1.280267623235E0); #455=CARTESIAN_POINT('',(2.137843847692E1,-6.023935411384E0,0.E0)); #456=LINE('',#455,#454); #457=CARTESIAN_POINT('',(0.E0,-1.27E1,0.E0)); #458=DIRECTION('',(0.E0,-1.E0,0.E0)); #459=DIRECTION('',(-1.E0,0.E0,0.E0)); #460=AXIS2_PLACEMENT_3D('',#457,#458,#459); #462=CARTESIAN_POINT('',(1.065814103640E-13,-1.299999871362E1, 2.726707748479E-13)); #463=DIRECTION('',(0.E0,1.E0,0.E0)); #464=DIRECTION('',(-1.E0,0.E0,-1.546137009513E-14)); #465=AXIS2_PLACEMENT_3D('',#462,#463,#464); #467=CARTESIAN_POINT('',(-1.634248292248E-13,-1.299999871362E1, 3.641531520771E-14)); #468=DIRECTION('',(0.E0,1.E0,0.E0)); #469=DIRECTION('',(1.E0,0.E0,0.E0)); #470=AXIS2_PLACEMENT_3D('',#467,#468,#469); #472=CARTESIAN_POINT('',(-1.634248292248E-13,-1.299999871362E1, 3.641531520771E-14)); #473=DIRECTION('',(0.E0,1.E0,0.E0)); #474=DIRECTION('',(0.E0,0.E0,-1.E0)); #475=AXIS2_PLACEMENT_3D('',#472,#473,#474); #477=CARTESIAN_POINT('',(0.E0,-1.3E1,0.E0)); #478=DIRECTION('',(0.E0,-1.E0,0.E0)); #479=DIRECTION('',(1.E0,0.E0,0.E0)); #480=AXIS2_PLACEMENT_3D('',#477,#478,#479); #482=CARTESIAN_POINT('',(0.E0,-1.3E1,0.E0)); #483=DIRECTION('',(0.E0,-1.E0,0.E0)); #484=DIRECTION('',(-1.E0,0.E0,0.E0)); #485=AXIS2_PLACEMENT_3D('',#482,#483,#484); #487=CARTESIAN_POINT('',(-2.541784560107E1,-1.3E1,-1.4675E1)); #488=DIRECTION('',(0.E0,-1.E0,0.E0)); #489=DIRECTION('',(1.E0,0.E0,0.E0)); #490=AXIS2_PLACEMENT_3D('',#487,#488,#489); #492=CARTESIAN_POINT('',(-2.541784560107E1,-1.3E1,-1.4675E1)); #493=DIRECTION('',(0.E0,-1.E0,0.E0)); #494=DIRECTION('',(-1.E0,0.E0,0.E0)); #495=AXIS2_PLACEMENT_3D('',#492,#493,#494); #497=CARTESIAN_POINT('',(-2.541784560107E1,-1.3E1,1.4675E1)); #498=DIRECTION('',(0.E0,-1.E0,0.E0)); #499=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); #500=AXIS2_PLACEMENT_3D('',#497,#498,#499); #502=CARTESIAN_POINT('',(-2.541784560107E1,-1.3E1,1.4675E1)); #503=DIRECTION('',(0.E0,-1.E0,0.E0)); #504=DIRECTION('',(-5.E-1,0.E0,8.660254037844E-1)); #505=AXIS2_PLACEMENT_3D('',#502,#503,#504); #507=CARTESIAN_POINT('',(0.E0,-1.3E1,2.935E1)); #508=DIRECTION('',(0.E0,-1.E0,0.E0)); #509=DIRECTION('',(-5.E-1,0.E0,-8.660254037844E-1)); #510=AXIS2_PLACEMENT_3D('',#507,#508,#509); #512=CARTESIAN_POINT('',(0.E0,-1.3E1,2.935E1)); #513=DIRECTION('',(0.E0,-1.E0,0.E0)); #514=DIRECTION('',(5.E-1,0.E0,8.660254037844E-1)); #515=AXIS2_PLACEMENT_3D('',#512,#513,#514); #517=CARTESIAN_POINT('',(2.541784560107E1,-1.3E1,1.4675E1)); #518=DIRECTION('',(0.E0,-1.E0,0.E0)); #519=DIRECTION('',(-1.E0,0.E0,0.E0)); #520=AXIS2_PLACEMENT_3D('',#517,#518,#519); #522=CARTESIAN_POINT('',(2.541784560107E1,-1.3E1,1.4675E1)); #523=DIRECTION('',(0.E0,-1.E0,0.E0)); #524=DIRECTION('',(1.E0,0.E0,0.E0)); #525=AXIS2_PLACEMENT_3D('',#522,#523,#524); #527=CARTESIAN_POINT('',(2.541784560107E1,-1.3E1,-1.4675E1)); #528=DIRECTION('',(0.E0,-1.E0,0.E0)); #529=DIRECTION('',(-5.E-1,0.E0,8.660254037844E-1)); #530=AXIS2_PLACEMENT_3D('',#527,#528,#529); #532=CARTESIAN_POINT('',(2.541784560107E1,-1.3E1,-1.4675E1)); #533=DIRECTION('',(0.E0,-1.E0,0.E0)); #534=DIRECTION('',(5.E-1,0.E0,-8.660254037844E-1)); #535=AXIS2_PLACEMENT_3D('',#532,#533,#534); #537=CARTESIAN_POINT('',(0.E0,-1.3E1,-2.935E1)); #538=DIRECTION('',(0.E0,-1.E0,0.E0)); #539=DIRECTION('',(5.E-1,0.E0,8.660254037844E-1)); #540=AXIS2_PLACEMENT_3D('',#537,#538,#539); #542=CARTESIAN_POINT('',(0.E0,-1.3E1,-2.935E1)); #543=DIRECTION('',(0.E0,-1.E0,0.E0)); #544=DIRECTION('',(-5.E-1,0.E0,-8.660254037844E-1)); #545=AXIS2_PLACEMENT_3D('',#542,#543,#544); #547=DIRECTION('',(7.071071941703E-1,7.071063682025E-1,-7.843472873414E-10)); #548=VECTOR('',#547,1.414217509870E0); #549=CARTESIAN_POINT('',(3.394999555752E1,-1.299999914241E1, 1.701694001005E-13)); #550=LINE('',#549,#548); #551=DIRECTION('',(7.071071941703E-1,-7.071063682025E-1,-1.121056281218E-9)); #552=VECTOR('',#551,1.414217509870E0); #553=CARTESIAN_POINT('',(-3.494999893287E1,-1.199999693516E1, 1.585264113659E-9)); #554=LINE('',#553,#552); #555=CARTESIAN_POINT('',(0.E0,-1.199999674163E1,0.E0)); #556=DIRECTION('',(0.E0,-1.E0,0.E0)); #557=DIRECTION('',(-1.E0,0.E0,5.449983763575E-11)); #558=AXIS2_PLACEMENT_3D('',#555,#556,#557); #560=CARTESIAN_POINT('',(0.E0,-1.199999674163E1,0.E0)); #561=DIRECTION('',(0.E0,-1.E0,0.E0)); #562=DIRECTION('',(-5.203694640152E-10,0.E0,-1.E0)); #563=AXIS2_PLACEMENT_3D('',#560,#561,#562); #565=CARTESIAN_POINT('',(0.E0,-7.376505905230E0,0.E0)); #566=DIRECTION('',(0.E0,-1.E0,0.E0)); #567=DIRECTION('',(-1.E0,0.E0,0.E0)); #568=AXIS2_PLACEMENT_3D('',#565,#566,#567); #570=CARTESIAN_POINT('',(-3.445E1,-7.376505905230E0,0.E0)); #571=DIRECTION('',(0.E0,0.E0,-1.E0)); #572=DIRECTION('',(-1.E0,0.E0,0.E0)); #573=AXIS2_PLACEMENT_3D('',#570,#571,#572); #575=CARTESIAN_POINT('',(3.445E1,-7.376505905230E0,0.E0)); #576=DIRECTION('',(0.E0,0.E0,-1.E0)); #577=DIRECTION('',(6.427876096790E-1,7.660444431253E-1,0.E0)); #578=AXIS2_PLACEMENT_3D('',#575,#576,#577); #580=CARTESIAN_POINT('',(0.E0,-7.376505905230E0,0.E0)); #581=DIRECTION('',(0.E0,1.E0,0.E0)); #582=DIRECTION('',(-1.E0,0.E0,0.E0)); #583=AXIS2_PLACEMENT_3D('',#580,#581,#582); #585=DIRECTION('',(-2.308065879070E-7,-1.E0,-2.398766410484E-10)); #586=VECTOR('',#585,4.623491029930E0); #587=CARTESIAN_POINT('',(3.495E1,-7.376505905230E0,0.E0)); #588=LINE('',#587,#586); #589=CARTESIAN_POINT('',(0.E0,-1.199999674163E1,0.E0)); #590=DIRECTION('',(0.E0,-1.E0,0.E0)); #591=DIRECTION('',(1.E0,0.E0,0.E0)); #592=AXIS2_PLACEMENT_3D('',#589,#590,#591); #594=DIRECTION('',(-2.308065832966E-7,1.E0,-3.428716749740E-10)); #595=VECTOR('',#594,4.623491029930E0); #596=CARTESIAN_POINT('',(-3.494999893287E1,-1.199999693516E1, 1.585264113659E-9)); #597=LINE('',#596,#595); #598=DIRECTION('',(7.660444431201E-1,6.427876096852E-1,0.E0)); #599=VECTOR('',#598,4.101982470751E-1); #600=CARTESIAN_POINT('',(-3.477139380484E1,-6.993483683668E0,0.E0)); #601=LINE('',#600,#599); #602=CARTESIAN_POINT('',(0.E0,-6.729813332933E0,0.E0)); #603=DIRECTION('',(0.E0,1.E0,0.E0)); #604=DIRECTION('',(-1.E0,0.E0,0.E0)); #605=AXIS2_PLACEMENT_3D('',#602,#603,#604); #607=DIRECTION('',(7.660444431201E-1,-6.427876096852E-1,-1.866078877284E-14)); #608=VECTOR('',#607,4.101982470757E-1); #609=CARTESIAN_POINT('',(3.445716371709E1,-6.729813332934E0,0.E0)); #610=LINE('',#609,#608); #611=CARTESIAN_POINT('',(0.E0,-6.993483683669E0,0.E0)); #612=DIRECTION('',(0.E0,-1.E0,0.E0)); #613=DIRECTION('',(1.E0,0.E0,0.E0)); #614=AXIS2_PLACEMENT_3D('',#611,#612,#613); #616=CARTESIAN_POINT('',(0.E0,-6.993483683669E0,0.E0)); #617=DIRECTION('',(0.E0,-1.E0,0.E0)); #618=DIRECTION('',(-1.E0,0.E0,0.E0)); #619=AXIS2_PLACEMENT_3D('',#616,#617,#618); #621=CARTESIAN_POINT('',(0.E0,-6.729813332933E0,0.E0)); #622=DIRECTION('',(0.E0,1.E0,0.E0)); #623=DIRECTION('',(1.E0,0.E0,0.E0)); #624=AXIS2_PLACEMENT_3D('',#621,#622,#623); #626=CARTESIAN_POINT('',(-3.465E1,-6.5E0,0.E0)); #627=DIRECTION('',(0.E0,0.E0,-1.E0)); #628=DIRECTION('',(6.427876096895E-1,7.660444431165E-1,0.E0)); #629=AXIS2_PLACEMENT_3D('',#626,#627,#628); #631=CARTESIAN_POINT('',(3.465E1,-6.5E0,0.E0)); #632=DIRECTION('',(0.E0,0.E0,-1.E0)); #633=DIRECTION('',(-6.427876096968E-1,-7.660444431104E-1,0.E0)); #634=AXIS2_PLACEMENT_3D('',#631,#632,#633); #636=CARTESIAN_POINT('',(0.E0,-6.270186667067E0,0.E0)); #637=DIRECTION('',(0.E0,1.E0,0.E0)); #638=DIRECTION('',(1.E0,0.E0,0.E0)); #639=AXIS2_PLACEMENT_3D('',#636,#637,#638); #641=CARTESIAN_POINT('',(0.E0,-6.270186667067E0,0.E0)); #642=DIRECTION('',(0.E0,1.E0,0.E0)); #643=DIRECTION('',(-1.E0,0.E0,0.E0)); #644=AXIS2_PLACEMENT_3D('',#641,#642,#643); #646=DIRECTION('',(-7.660444431150E-1,6.427876096912E-1,0.E0)); #647=VECTOR('',#646,4.101982470751E-1); #648=CARTESIAN_POINT('',(-3.445716371709E1,-6.270186667066E0,0.E0)); #649=LINE('',#648,#647); #650=CARTESIAN_POINT('',(0.E0,-6.006516316331E0,0.E0)); #651=DIRECTION('',(0.E0,1.E0,0.E0)); #652=DIRECTION('',(-1.E0,0.E0,0.E0)); #653=AXIS2_PLACEMENT_3D('',#650,#651,#652); #655=DIRECTION('',(-7.660444431151E-1,-6.427876096912E-1,1.866078877285E-14)); #656=VECTOR('',#655,4.101982470757E-1); #657=CARTESIAN_POINT('',(3.477139380484E1,-6.006516316329E0,0.E0)); #658=LINE('',#657,#656); #659=CARTESIAN_POINT('',(0.E0,-6.006516316331E0,0.E0)); #660=DIRECTION('',(0.E0,1.E0,0.E0)); #661=DIRECTION('',(1.E0,0.E0,0.E0)); #662=AXIS2_PLACEMENT_3D('',#659,#660,#661); #664=CARTESIAN_POINT('',(0.E0,-5.623494094770E0,0.E0)); #665=DIRECTION('',(0.E0,1.E0,0.E0)); #666=DIRECTION('',(1.E0,0.E0,0.E0)); #667=AXIS2_PLACEMENT_3D('',#664,#665,#666); #669=CARTESIAN_POINT('',(-3.444999999999E1,-5.623494094770E0,0.E0)); #670=DIRECTION('',(0.E0,0.E0,1.E0)); #671=DIRECTION('',(-1.E0,0.E0,0.E0)); #672=AXIS2_PLACEMENT_3D('',#669,#670,#671); #674=CARTESIAN_POINT('',(3.444999999999E1,-5.623494094770E0,0.E0)); #675=DIRECTION('',(0.E0,0.E0,1.E0)); #676=DIRECTION('',(6.427876096907E-1,-7.660444431155E-1,0.E0)); #677=AXIS2_PLACEMENT_3D('',#674,#675,#676); #679=CARTESIAN_POINT('',(0.E0,-1.E0,0.E0)); #680=DIRECTION('',(0.E0,1.E0,0.E0)); #681=DIRECTION('',(1.E0,0.E0,0.E0)); #682=AXIS2_PLACEMENT_3D('',#679,#680,#681); #684=DIRECTION('',(1.024252465029E-10,9.999999996450E-1,-2.664430023051E-5)); #685=VECTOR('',#684,4.623494096411E0); #686=CARTESIAN_POINT('',(-3.495E1,-5.623494094770E0,0.E0)); #687=LINE('',#686,#685); #688=DIRECTION('',(-1.924084873771E-12,-1.E0,0.E0)); #689=VECTOR('',#688,4.623494094770E0); #690=CARTESIAN_POINT('',(3.495000000001E1,-1.E0,0.E0)); #691=LINE('',#690,#689); #692=CARTESIAN_POINT('',(0.E0,-5.623494094770E0,0.E0)); #693=DIRECTION('',(0.E0,1.E0,0.E0)); #694=DIRECTION('',(-1.E0,0.E0,0.E0)); #695=AXIS2_PLACEMENT_3D('',#692,#693,#694); #697=CARTESIAN_POINT('',(3.395000000001E1,-1.E0,2.464695114668E-13)); #698=DIRECTION('',(0.E0,0.E0,-1.E0)); #699=DIRECTION('',(-1.193711796077E-11,1.E0,0.E0)); #700=AXIS2_PLACEMENT_3D('',#697,#698,#699); #702=CARTESIAN_POINT('',(0.E0,-1.E0,0.E0)); #703=DIRECTION('',(0.E0,-1.E0,0.E0)); #704=DIRECTION('',(1.E0,0.E0,0.E0)); #705=AXIS2_PLACEMENT_3D('',#702,#703,#704); #707=CARTESIAN_POINT('',(-3.494999999952E1,-1.E0,-1.231897648188E-4)); #708=CARTESIAN_POINT('',(-3.494999999952E1,-9.776511066964E-1, -3.662238593093E-4)); #709=CARTESIAN_POINT('',(-3.494850101313E1,-9.329371484213E-1, -5.584495320011E-4)); #710=CARTESIAN_POINT('',(-3.494175597608E1,-8.661196441238E-1, -7.435572477823E-4)); #711=CARTESIAN_POINT('',(-3.493427534643E1,-8.219447577296E-1, -7.196553350293E-4)); #712=CARTESIAN_POINT('',(-3.492979587494E1,-7.999999235078E-1, -6.774541354220E-4)); #714=CARTESIAN_POINT('',(2.1E1,-1.27E1,0.E0)); #715=DIRECTION('',(0.E0,0.E0,-1.E0)); #716=DIRECTION('',(-4.945254043302E-7,-9.999999999999E-1,0.E0)); #717=AXIS2_PLACEMENT_3D('',#714,#715,#716); #719=CARTESIAN_POINT('',(0.E0,-1.27E1,0.E0)); #720=DIRECTION('',(0.E0,-1.E0,0.E0)); #721=DIRECTION('',(1.E0,0.E0,0.E0)); #722=AXIS2_PLACEMENT_3D('',#719,#720,#721); #724=CARTESIAN_POINT('',(-2.1E1,-1.27E1,0.E0)); #725=DIRECTION('',(0.E0,0.E0,-1.E0)); #726=DIRECTION('',(1.E0,0.E0,0.E0)); #727=AXIS2_PLACEMENT_3D('',#724,#725,#726); #729=DIRECTION('',(0.E0,-1.E0,0.E0)); #730=VECTOR('',#729,1.3E1); #731=CARTESIAN_POINT('',(-2.201784560107E1,0.E0,-1.4675E1)); #732=LINE('',#731,#730); #733=DIRECTION('',(0.E0,1.E0,0.E0)); #734=VECTOR('',#733,1.3E1); #735=CARTESIAN_POINT('',(-2.881784560107E1,-1.3E1,-1.4675E1)); #736=LINE('',#735,#734); #737=DIRECTION('',(0.E0,-1.E0,0.E0)); #738=VECTOR('',#737,1.3E1); #739=CARTESIAN_POINT('',(-2.371784560107E1,0.E0,1.173051362713E1)); #740=LINE('',#739,#738); #741=DIRECTION('',(-3.334085144720E-14,1.E0,-1.912999673200E-14)); #742=VECTOR('',#741,1.3E1); #743=CARTESIAN_POINT('',(-2.711784560107E1,-1.3E1,1.761948637287E1)); #744=LINE('',#743,#742); #745=DIRECTION('',(0.E0,-1.E0,0.E0)); #746=VECTOR('',#745,1.3E1); #747=CARTESIAN_POINT('',(-1.7E0,0.E0,2.640551362713E1)); #748=LINE('',#747,#746); #749=DIRECTION('',(3.351165498945E-14,1.E0,-1.967656806720E-14)); #750=VECTOR('',#749,1.3E1); #751=CARTESIAN_POINT('',(1.7E0,-1.3E1,3.229448637287E1)); #752=LINE('',#751,#750); #753=DIRECTION('',(0.E0,-1.E0,0.E0)); #754=VECTOR('',#753,1.3E1); #755=CARTESIAN_POINT('',(2.201784560107E1,0.E0,1.4675E1)); #756=LINE('',#755,#754); #757=DIRECTION('',(0.E0,1.E0,0.E0)); #758=VECTOR('',#757,1.3E1); #759=CARTESIAN_POINT('',(2.881784560107E1,-1.3E1,1.4675E1)); #760=LINE('',#759,#758); #761=DIRECTION('',(0.E0,-1.E0,0.E0)); #762=VECTOR('',#761,1.3E1); #763=CARTESIAN_POINT('',(2.371784560107E1,0.E0,-1.173051362713E1)); #764=LINE('',#763,#762); #765=DIRECTION('',(3.361413711480E-14,1.E0,1.940328239960E-14)); #766=VECTOR('',#765,1.3E1); #767=CARTESIAN_POINT('',(2.711784560107E1,-1.3E1,-1.761948637287E1)); #768=LINE('',#767,#766); #769=DIRECTION('',(0.E0,-1.E0,0.E0)); #770=VECTOR('',#769,1.3E1); #771=CARTESIAN_POINT('',(1.7E0,0.E0,-2.640551362713E1)); #772=LINE('',#771,#770); #773=DIRECTION('',(-3.328961038453E-14,1.E0,1.967656806720E-14)); #774=VECTOR('',#773,1.3E1); #775=CARTESIAN_POINT('',(-1.7E0,-1.3E1,-3.229448637287E1)); #776=LINE('',#775,#774); #777=DIRECTION('',(0.E0,-1.E0,0.E0)); #778=VECTOR('',#777,5.82E1); #779=CARTESIAN_POINT('',(0.E0,-4.8E0,-2.06375E1)); #780=LINE('',#779,#778); #781=DIRECTION('',(0.E0,1.E0,0.E0)); #782=VECTOR('',#781,5.82E1); #783=CARTESIAN_POINT('',(0.E0,-6.3E1,2.06375E1)); #784=LINE('',#783,#782); #785=DIRECTION('',(0.E0,1.E0,0.E0)); #786=VECTOR('',#785,5.82E1); #787=CARTESIAN_POINT('',(0.E0,-6.3E1,1.91375E1)); #788=LINE('',#787,#786); #789=DIRECTION('',(0.E0,-1.E0,0.E0)); #790=VECTOR('',#789,5.82E1); #791=CARTESIAN_POINT('',(0.E0,-4.8E0,-1.91375E1)); #792=LINE('',#791,#790); #793=CARTESIAN_POINT('',(0.E0,-4.8E0,0.E0)); #794=DIRECTION('',(0.E0,1.E0,0.E0)); #795=DIRECTION('',(-1.E0,0.E0,5.288450904128E-12)); #796=AXIS2_PLACEMENT_3D('',#793,#794,#795); #798=CARTESIAN_POINT('',(2.02E1,-4.6E0,0.E0)); #799=DIRECTION('',(0.E0,0.E0,-1.E0)); #800=DIRECTION('',(0.E0,-1.E0,0.E0)); #801=AXIS2_PLACEMENT_3D('',#798,#799,#800); #803=CARTESIAN_POINT('',(-2.02E1,-4.6E0,0.E0)); #804=DIRECTION('',(0.E0,0.E0,-1.E0)); #805=DIRECTION('',(1.E0,0.E0,0.E0)); #806=AXIS2_PLACEMENT_3D('',#803,#804,#805); #808=CARTESIAN_POINT('',(0.E0,-4.6E0,0.E0)); #809=DIRECTION('',(0.E0,1.E0,0.E0)); #810=DIRECTION('',(-1.E0,0.E0,0.E0)); #811=AXIS2_PLACEMENT_3D('',#808,#809,#810); #813=DIRECTION('',(-6.417805355253E-13,1.E0,6.569744748219E-13)); #814=VECTOR('',#813,3.1E0); #815=CARTESIAN_POINT('',(2.E1,-4.6E0,0.E0)); #816=LINE('',#815,#814); #817=DIRECTION('',(-6.417805355253E-13,-1.E0,0.E0)); #818=VECTOR('',#817,3.1E0); #819=CARTESIAN_POINT('',(-2.E1,-1.5E0,0.E0)); #820=LINE('',#819,#818); #821=CARTESIAN_POINT('',(0.E0,-1.5E0,0.E0)); #822=DIRECTION('',(0.E0,1.E0,0.E0)); #823=DIRECTION('',(-1.E0,0.E0,0.E0)); #824=AXIS2_PLACEMENT_3D('',#821,#822,#823); #826=CARTESIAN_POINT('',(1.999999999999E1,-1.5E0,1.054711873394E-14)); #827=CARTESIAN_POINT('',(1.999999999999E1,-1.499795469228E0, 1.289524043102E-12)); #828=CARTESIAN_POINT('',(2.000000041832E1,-1.499386407685E0, 3.840561355500E-12)); #829=CARTESIAN_POINT('',(2.000000230080E1,-1.498772817937E0, 7.654992770037E-12)); #830=CARTESIAN_POINT('',(2.000000543825E1,-1.498159233322E0, 1.148571437156E-11)); #831=CARTESIAN_POINT('',(2.000000983066E1,-1.497545656408E0, 1.531685701784E-11)); #832=CARTESIAN_POINT('',(2.000001547802E1,-1.496932089761E0, 1.913002529807E-11)); #833=CARTESIAN_POINT('',(2.000002238029E1,-1.496318535947E0, 2.296104622569E-11)); #834=CARTESIAN_POINT('',(2.000003053746E1,-1.495704997535E0, 2.679208816842E-11)); #835=CARTESIAN_POINT('',(2.000003994948E1,-1.495091477090E0, 3.060535993680E-11)); #836=CARTESIAN_POINT('',(2.000005061633E1,-1.494477977178E0, 3.443640068226E-11)); #837=CARTESIAN_POINT('',(2.000006253794E1,-1.493864500367E0, 3.826742639894E-11)); #838=CARTESIAN_POINT('',(2.000007571428E1,-1.493251049222E0, 4.208074325364E-11)); #839=CARTESIAN_POINT('',(2.000009014528E1,-1.492637626310E0, 4.591178641332E-11)); #840=CARTESIAN_POINT('',(2.000010583090E1,-1.492024234197E0, 4.974292392026E-11)); #841=CARTESIAN_POINT('',(2.000012277105E1,-1.491410875448E0, 5.355595773320E-11)); #842=CARTESIAN_POINT('',(2.000014096568E1,-1.490797552631E0, 5.738802126968E-11)); #843=CARTESIAN_POINT('',(2.000016041470E1,-1.490184268310E0, 6.121536031116E-11)); #844=CARTESIAN_POINT('',(2.000018111803E1,-1.489571025051E0, 6.504273414254E-11)); #845=CARTESIAN_POINT('',(2.000020307560E1,-1.488957825419E0, 6.887485984281E-11)); #846=CARTESIAN_POINT('',(2.000022628729E1,-1.488344671979E0, 7.268794327760E-11)); #847=CARTESIAN_POINT('',(2.000025075303E1,-1.487731567298E0, 7.651915320026E-11)); #848=CARTESIAN_POINT('',(2.000027647270E1,-1.487118513938E0, 8.035035667555E-11)); #849=CARTESIAN_POINT('',(2.000030344620E1,-1.486505514466E0, 8.416362598589E-11)); #850=CARTESIAN_POINT('',(2.000033167341E1,-1.485892571444E0, 8.799568076513E-11)); #851=CARTESIAN_POINT('',(2.000036115422E1,-1.485279687438E0, 9.182315240508E-11)); #852=CARTESIAN_POINT('',(2.000039188851E1,-1.484666865012E0, 9.565067073367E-11)); #853=CARTESIAN_POINT('',(2.000042387614E1,-1.484054106728E0, 9.948291851390E-11)); #854=CARTESIAN_POINT('',(2.000045711698E1,-1.483441415150E0, 1.032960352655E-10)); #855=CARTESIAN_POINT('',(2.000049161089E1,-1.482828792842E0, 1.071274894414E-10)); #856=CARTESIAN_POINT('',(2.000052735773E1,-1.482216242365E0, 1.109588483209E-10)); #857=CARTESIAN_POINT('',(2.000056435735E1,-1.481603766283E0, 1.147720844286E-10)); #858=CARTESIAN_POINT('',(2.000060260960E1,-1.480991367158E0, 1.186044496810E-10)); #859=CARTESIAN_POINT('',(2.000064211431E1,-1.480379047551E0, 1.224320448985E-10)); #860=CARTESIAN_POINT('',(2.000068287131E1,-1.479766810024E0, 1.262597576444E-10)); #861=CARTESIAN_POINT('',(2.000072488045E1,-1.479154657138E0, 1.300921033788E-10)); #862=CARTESIAN_POINT('',(2.000076814153E1,-1.478542591454E0, 1.339056330969E-10)); #863=CARTESIAN_POINT('',(2.000081265439E1,-1.477930615533E0, 1.377370027869E-10)); #864=CARTESIAN_POINT('',(2.000085841884E1,-1.477318731934E0, 1.415686197106E-10)); #865=CARTESIAN_POINT('',(2.000090543467E1,-1.476706943217E0, 1.453820738615E-10)); #866=CARTESIAN_POINT('',(2.000095370171E1,-1.476095251942E0, 1.492146411647E-10)); #867=CARTESIAN_POINT('',(2.000100321974E1,-1.475483660667E0, 1.530423758700E-10)); #868=CARTESIAN_POINT('',(2.000105398855E1,-1.474872171950E0, 1.568703278148E-10)); #869=CARTESIAN_POINT('',(2.000110600795E1,-1.474260788351E0, 1.607029095333E-10)); #870=CARTESIAN_POINT('',(2.000115927770E1,-1.473649512426E0, 1.645165883839E-10)); #871=CARTESIAN_POINT('',(2.000121379758E1,-1.473038346732E0, 1.683482912941E-10)); #872=CARTESIAN_POINT('',(2.000126956737E1,-1.472427293827E0, 1.721800915391E-10)); #873=CARTESIAN_POINT('',(2.000132658684E1,-1.471816356266E0, 1.759938114520E-10)); #874=CARTESIAN_POINT('',(2.000138485574E1,-1.471205536605E0, 1.798266311871E-10)); #875=CARTESIAN_POINT('',(2.000144437384E1,-1.470594837399E0, 1.836545892038E-10)); #876=CARTESIAN_POINT('',(2.000150514087E1,-1.469984261204E0, 1.874827277385E-10)); #877=CARTESIAN_POINT('',(2.000156715659E1,-1.469373810573E0, 1.913156720536E-10)); #878=CARTESIAN_POINT('',(2.000163042075E1,-1.468763488060E0, 1.951295457958E-10)); #879=CARTESIAN_POINT('',(2.000169493306E1,-1.468153296218E0, 1.989616053443E-10)); #880=CARTESIAN_POINT('',(2.000176069327E1,-1.467543237599E0, 2.027936160123E-10)); #881=CARTESIAN_POINT('',(2.000182770110E1,-1.466933314757E0, 2.066076363961E-10)); #882=CARTESIAN_POINT('',(2.000189595627E1,-1.466323530242E0, 2.104407091590E-10)); #883=CARTESIAN_POINT('',(2.000196545849E1,-1.465713886605E0, 2.142691864618E-10)); #884=CARTESIAN_POINT('',(2.000203620748E1,-1.465104386396E0, 2.180973262929E-10)); #885=CARTESIAN_POINT('',(2.000210820293E1,-1.464495032166E0, 2.219310784039E-10)); #886=CARTESIAN_POINT('',(2.000218144455E1,-1.463885826463E0, 2.257433850007E-10)); #887=CARTESIAN_POINT('',(2.000225593202E1,-1.463276771836E0, 2.295829037361E-10)); #888=CARTESIAN_POINT('',(2.000233166505E1,-1.462667870832E0, 2.333883101366E-10)); #889=CARTESIAN_POINT('',(2.000240864330E1,-1.462059126E0,2.373032868049E-10)); #890=CARTESIAN_POINT('',(2.000248686646E1,-1.461450539884E0, 2.407613036320E-10)); #891=CARTESIAN_POINT('',(2.000256633421E1,-1.460842115032E0, 2.459910467948E-10)); #892=CARTESIAN_POINT('',(2.000264704620E1,-1.460233853989E0, 2.445910109897E-10)); #893=CARTESIAN_POINT('',(2.000272900210E1,-1.459625759298E0, 2.449674062518E-10)); #894=CARTESIAN_POINT('',(2.000281220158E1,-1.459017833504E0, 2.448678562128E-10)); #895=CARTESIAN_POINT('',(2.000289664427E1,-1.458410079151E0, 2.448958228444E-10)); #896=CARTESIAN_POINT('',(2.000298232983E1,-1.457802498779E0, 2.448896680947E-10)); #897=CARTESIAN_POINT('',(2.000306925790E1,-1.457195094932E0, 2.448926487333E-10)); #898=CARTESIAN_POINT('',(2.000315742811E1,-1.456587870149E0, 2.448932091999E-10)); #899=CARTESIAN_POINT('',(2.000324684010E1,-1.455980826972E0, 2.448944814993E-10)); #900=CARTESIAN_POINT('',(2.000333749350E1,-1.455373967940E0, 2.448954931734E-10)); #901=CARTESIAN_POINT('',(2.000342938791E1,-1.454767295590E0, 2.448966689824E-10)); #902=CARTESIAN_POINT('',(2.000352252297E1,-1.454160812462E0, 2.448977819437E-10)); #903=CARTESIAN_POINT('',(2.000361689827E1,-1.453554521093E0, 2.448989821612E-10)); #904=CARTESIAN_POINT('',(2.000371251343E1,-1.452948424017E0, 2.448998962017E-10)); #905=CARTESIAN_POINT('',(2.000380936804E1,-1.452342523772E0, 2.449023672941E-10)); #906=CARTESIAN_POINT('',(2.000390746170E1,-1.451736822891E0, 2.448983967554E-10)); #907=CARTESIAN_POINT('',(2.000400679400E1,-1.451131323909E0, 2.449191352899E-10)); #908=CARTESIAN_POINT('',(2.000410736452E1,-1.450526029359E0, 2.449007698678E-10)); #909=CARTESIAN_POINT('',(2.000420917284E1,-1.449920941772E0, 2.449073632551E-10)); #910=CARTESIAN_POINT('',(2.000431221855E1,-1.449316063679E0, 2.449071822002E-10)); #911=CARTESIAN_POINT('',(2.000441650119E1,-1.448711397612E0, 2.449088070377E-10)); #912=CARTESIAN_POINT('',(2.000452202035E1,-1.448106946100E0, 2.449099827481E-10)); #913=CARTESIAN_POINT('',(2.000462877557E1,-1.447502711671E0, 2.449113156078E-10)); #914=CARTESIAN_POINT('',(2.000473676642E1,-1.446898696853E0, 2.449126355312E-10)); #915=CARTESIAN_POINT('',(2.000484599243E1,-1.446294904173E0, 2.449140165837E-10)); #916=CARTESIAN_POINT('',(2.000495645316E1,-1.445691336156E0, 2.449153325894E-10)); #917=CARTESIAN_POINT('',(2.000506814813E1,-1.445087995328E0, 2.449166811199E-10)); #918=CARTESIAN_POINT('',(2.000518107689E1,-1.444484884212E0, 2.449181311314E-10)); #919=CARTESIAN_POINT('',(2.000529523897E1,-1.443882005331E0, 2.449194757610E-10)); #920=CARTESIAN_POINT('',(2.000541063387E1,-1.443279361208E0, 2.449209739038E-10)); #921=CARTESIAN_POINT('',(2.000552726112E1,-1.442676954364E0, 2.449222964425E-10)); #922=CARTESIAN_POINT('',(2.000564512024E1,-1.442074787317E0, 2.449238348178E-10)); #923=CARTESIAN_POINT('',(2.000576421073E1,-1.441472862589E0, 2.449251850508E-10)); #924=CARTESIAN_POINT('',(2.000588453209E1,-1.440871182696E0, 2.449267389497E-10)); #925=CARTESIAN_POINT('',(2.000600608381E1,-1.440269750155E0, 2.449281659277E-10)); #926=CARTESIAN_POINT('',(2.000612886540E1,-1.439668567483E0, 2.449297303896E-10)); #927=CARTESIAN_POINT('',(2.000625287633E1,-1.439067637194E0, 2.449312049038E-10)); #928=CARTESIAN_POINT('',(2.000637811609E1,-1.438466961803E0, 2.449327351916E-10)); #929=CARTESIAN_POINT('',(2.000650458415E1,-1.437866543822E0, 2.449342988663E-10)); #930=CARTESIAN_POINT('',(2.000663227999E1,-1.437266385762E0, 2.449358397531E-10)); #931=CARTESIAN_POINT('',(2.000676120306E1,-1.436666490135E0, 2.449374384047E-10)); #932=CARTESIAN_POINT('',(2.000689135284E1,-1.436066859450E0, 2.449389953185E-10)); #933=CARTESIAN_POINT('',(2.000702272877E1,-1.435467496215E0, 2.449406614185E-10)); #934=CARTESIAN_POINT('',(2.000715533031E1,-1.434868402938E0, 2.449422655784E-10)); #935=CARTESIAN_POINT('',(2.000728915690E1,-1.434269582124E0, 2.449440083127E-10)); #936=CARTESIAN_POINT('',(2.000742420798E1,-1.433671036280E0, 2.449452586893E-10)); #937=CARTESIAN_POINT('',(2.000756048299E1,-1.433072767908E0, 2.449483399222E-10)); #938=CARTESIAN_POINT('',(2.000769798136E1,-1.432474779512E0, 2.449447566214E-10)); #939=CARTESIAN_POINT('',(2.000783670251E1,-1.431877073593E0, 2.449660005989E-10)); #940=CARTESIAN_POINT('',(2.000797664586E1,-1.431279652651E0, 2.449482237688E-10)); #941=CARTESIAN_POINT('',(2.000811781083E1,-1.430682519186E0, 2.449551214807E-10)); #942=CARTESIAN_POINT('',(2.000826019682E1,-1.430085675696E0, 2.449554660038E-10)); #943=CARTESIAN_POINT('',(2.000840380324E1,-1.429489124676E0, 2.449576818072E-10)); #944=CARTESIAN_POINT('',(2.000854862949E1,-1.428892868624E0, 2.449592987452E-10)); #945=CARTESIAN_POINT('',(2.000869467497E1,-1.428296910033E0, 2.449611067973E-10)); #946=CARTESIAN_POINT('',(2.000884193906E1,-1.427701251396E0, 2.449629157918E-10)); #947=CARTESIAN_POINT('',(2.000899042114E1,-1.427105895206E0, 2.449646964364E-10)); #948=CARTESIAN_POINT('',(2.000914012060E1,-1.426510843952E0, 2.449665895380E-10)); #949=CARTESIAN_POINT('',(2.000929103681E1,-1.425916100123E0, 2.449683942284E-10)); #950=CARTESIAN_POINT('',(2.000944316913E1,-1.425321666209E0, 2.449702735732E-10)); #951=CARTESIAN_POINT('',(2.000959651694E1,-1.424727544695E0, 2.449721092449E-10)); #952=CARTESIAN_POINT('',(2.000975107958E1,-1.424133738067E0, 2.449740449547E-10)); #953=CARTESIAN_POINT('',(2.000990685642E1,-1.423540248809E0, 2.449759572519E-10)); #954=CARTESIAN_POINT('',(2.001006384680E1,-1.422947079404E0, 2.449778631618E-10)); #955=CARTESIAN_POINT('',(2.001022205007E1,-1.422354232333E0, 2.449798180334E-10)); #956=CARTESIAN_POINT('',(2.001038146556E1,-1.421761710076E0, 2.449817499787E-10)); #957=CARTESIAN_POINT('',(2.001054209260E1,-1.421169515112E0, 2.449837246677E-10)); #958=CARTESIAN_POINT('',(2.001070393053E1,-1.420577649918E0, 2.449857178415E-10)); #959=CARTESIAN_POINT('',(2.001086697867E1,-1.419986116970E0, 2.449877608661E-10)); #960=CARTESIAN_POINT('',(2.001103123633E1,-1.419394918743E0, 2.449897525359E-10)); #961=CARTESIAN_POINT('',(2.001119670283E1,-1.418804057710E0, 2.449917332409E-10)); #962=CARTESIAN_POINT('',(2.001136337747E1,-1.418213536342E0, 2.449938091596E-10)); #963=CARTESIAN_POINT('',(2.001153125957E1,-1.417623357110E0, 2.449958482555E-10)); #964=CARTESIAN_POINT('',(2.001170034840E1,-1.417033522482E0, 2.449979394288E-10)); #965=CARTESIAN_POINT('',(2.001187064328E1,-1.416444034927E0, 2.450000256486E-10)); #966=CARTESIAN_POINT('',(2.001204214349E1,-1.415854896911E0, 2.450020796052E-10)); #967=CARTESIAN_POINT('',(2.001221484830E1,-1.415266110896E0, 2.450042675682E-10)); #968=CARTESIAN_POINT('',(2.001238875700E1,-1.414677679348E0, 2.450061183021E-10)); #969=CARTESIAN_POINT('',(2.001256386886E1,-1.414089604727E0, 2.450093504793E-10)); #970=CARTESIAN_POINT('',(2.001274018314E1,-1.413501889493E0, 2.450073941124E-10)); #971=CARTESIAN_POINT('',(2.001291769912E1,-1.412914536106E0, 2.450249770122E-10)); #972=CARTESIAN_POINT('',(2.001309641604E1,-1.412327547021E0, 2.450230486278E-10)); #973=CARTESIAN_POINT('',(2.001327633316E1,-1.411740924695E0, 2.450263354084E-10)); #974=CARTESIAN_POINT('',(2.001345744972E1,-1.411154671582E0, 2.450282728132E-10)); #975=CARTESIAN_POINT('',(2.001363976497E1,-1.410568790134E0, 2.450305590898E-10)); #976=CARTESIAN_POINT('',(2.001382327815E1,-1.409983282802E0, 2.450327992548E-10)); #977=CARTESIAN_POINT('',(2.001400798849E1,-1.409398152035E0, 2.450350415280E-10)); #978=CARTESIAN_POINT('',(2.001419389521E1,-1.408813400281E0, 2.450373214801E-10)); #979=CARTESIAN_POINT('',(2.001438099754E1,-1.408229029986E0, 2.450396151418E-10)); #980=CARTESIAN_POINT('',(2.001456929470E1,-1.407645043596E0, 2.450419828194E-10)); #981=CARTESIAN_POINT('',(2.001475878589E1,-1.407061443552E0, 2.450442072576E-10)); #982=CARTESIAN_POINT('',(2.001494947033E1,-1.406478232296E0, 2.450465975705E-10)); #983=CARTESIAN_POINT('',(2.001514134721E1,-1.405895412269E0, 2.450489672242E-10)); #984=CARTESIAN_POINT('',(2.001533441574E1,-1.405312985907E0, 2.450512536399E-10)); #985=CARTESIAN_POINT('',(2.001552867510E1,-1.404730955648E0, 2.450537271333E-10)); #986=CARTESIAN_POINT('',(2.001572412449E1,-1.404149323926E0, 2.450560351545E-10)); #987=CARTESIAN_POINT('',(2.001592076308E1,-1.403568093175E0, 2.450584849200E-10)); #988=CARTESIAN_POINT('',(2.001611859006E1,-1.402987265825E0, 2.450608662472E-10)); #989=CARTESIAN_POINT('',(2.001631760459E1,-1.402406844307E0, 2.450633795834E-10)); #990=CARTESIAN_POINT('',(2.001651780585E1,-1.401826831049E0, 2.450657663888E-10)); #991=CARTESIAN_POINT('',(2.001671919299E1,-1.401247228477E0, 2.450681942416E-10)); #992=CARTESIAN_POINT('',(2.001692176518E1,-1.400668039016E0, 2.450707509687E-10)); #993=CARTESIAN_POINT('',(2.001712552156E1,-1.400089265088E0, 2.450732507517E-10)); #994=CARTESIAN_POINT('',(2.001733046129E1,-1.399510909115E0, 2.450756829034E-10)); #995=CARTESIAN_POINT('',(2.001753658350E1,-1.398932973516E0, 2.450782759910E-10)); #996=CARTESIAN_POINT('',(2.001774388734E1,-1.398355460709E0, 2.450807925668E-10)); #997=CARTESIAN_POINT('',(2.001795237193E1,-1.397778373110E0, 2.450832877205E-10)); #998=CARTESIAN_POINT('',(2.001816203641E1,-1.397201713133E0, 2.450859450741E-10)); #999=CARTESIAN_POINT('',(2.001837287990E1,-1.396625483190E0, 2.450884746507E-10)); #1000=CARTESIAN_POINT('',(2.001858490151E1,-1.396049685692E0, 2.450910200684E-10)); #1001=CARTESIAN_POINT('',(2.001879810036E1,-1.395474323048E0, 2.450939629655E-10)); #1002=CARTESIAN_POINT('',(2.001901247556E1,-1.394899397663E0, 2.450954666376E-10)); #1003=CARTESIAN_POINT('',(2.001922802621E1,-1.394324911945E0, 2.451021631969E-10)); #1004=CARTESIAN_POINT('',(2.001944475141E1,-1.393750868295E0, 2.450895274320E-10)); #1005=CARTESIAN_POINT('',(2.001966265024E1,-1.393177269115E0, 2.450962369723E-10)); #1006=CARTESIAN_POINT('',(2.001988172181E1,-1.392604116804E0, 2.450978552540E-10)); #1007=CARTESIAN_POINT('',(2.002010196520E1,-1.392031413761E0, 2.451008263321E-10)); #1008=CARTESIAN_POINT('',(2.002032337947E1,-1.391459162382E0, 2.451034774831E-10)); #1009=CARTESIAN_POINT('',(2.002054596371E1,-1.390887365059E0, 2.451062220794E-10)); #1010=CARTESIAN_POINT('',(2.002076971699E1,-1.390316024184E0, 2.451089128213E-10)); #1011=CARTESIAN_POINT('',(2.002099463836E1,-1.389745142149E0, 2.451117255358E-10)); #1012=CARTESIAN_POINT('',(2.002122072690E1,-1.389174721342E0, 2.451144372814E-10)); #1013=CARTESIAN_POINT('',(2.002144798164E1,-1.388604764147E0, 2.451172643966E-10)); #1014=CARTESIAN_POINT('',(2.002167640165E1,-1.388035272951E0, 2.451200640691E-10)); #1015=CARTESIAN_POINT('',(2.002190598596E1,-1.387466250134E0, 2.451228581427E-10)); #1016=CARTESIAN_POINT('',(2.002213673362E1,-1.386897698078E0, 2.451257020544E-10)); #1017=CARTESIAN_POINT('',(2.002236864366E1,-1.386329619160E0, 2.451285187463E-10)); #1018=CARTESIAN_POINT('',(2.002260171511E1,-1.385762015758E0, 2.451313944793E-10)); #1019=CARTESIAN_POINT('',(2.002283594700E1,-1.385194890246E0, 2.451342278012E-10)); #1020=CARTESIAN_POINT('',(2.002307133834E1,-1.384628244996E0, 2.451371717262E-10)); #1021=CARTESIAN_POINT('',(2.002330788815E1,-1.384062082378E0, 2.451400487171E-10)); #1022=CARTESIAN_POINT('',(2.002354559544E1,-1.383496404761E0, 2.451429163077E-10)); #1023=CARTESIAN_POINT('',(2.002378445922E1,-1.382931214512E0, 2.451458884337E-10)); #1024=CARTESIAN_POINT('',(2.002402447849E1,-1.382366513995E0, 2.451487848851E-10)); #1025=CARTESIAN_POINT('',(2.002426565224E1,-1.381802305572E0, 2.451517129662E-10)); #1026=CARTESIAN_POINT('',(2.002450797947E1,-1.381238591603E0, 2.451547567363E-10)); #1027=CARTESIAN_POINT('',(2.002475145915E1,-1.380675374446E0, 2.451576391877E-10)); #1028=CARTESIAN_POINT('',(2.002499609028E1,-1.380112656458E0, 2.451607181582E-10)); #1029=CARTESIAN_POINT('',(2.002524187183E1,-1.379550439993E0, 2.451636719713E-10)); #1030=CARTESIAN_POINT('',(2.002548880277E1,-1.378988727402E0, 2.451667633615E-10)); #1031=CARTESIAN_POINT('',(2.002573688206E1,-1.378427521036E0, 2.451697626674E-10)); #1032=CARTESIAN_POINT('',(2.002598610868E1,-1.377866823241E0, 2.451726596666E-10)); #1033=CARTESIAN_POINT('',(2.002623648157E1,-1.377306636364E0, 2.451767241108E-10)); #1034=CARTESIAN_POINT('',(2.002648799970E1,-1.376746962748E0, 2.451757214814E-10)); #1035=CARTESIAN_POINT('',(2.002674066200E1,-1.376187804734E0, 2.451941527681E-10)); #1036=CARTESIAN_POINT('',(2.002699446742E1,-1.375629164662E0, 2.451932061689E-10)); #1037=CARTESIAN_POINT('',(2.002724941490E1,-1.375071044868E0, 2.451973795592E-10)); #1038=CARTESIAN_POINT('',(2.002750550338E1,-1.374513447686E0, 2.452002843440E-10)); #1039=CARTESIAN_POINT('',(2.002776273177E1,-1.373956375451E0, 2.452034766284E-10)); #1040=CARTESIAN_POINT('',(2.002802109901E1,-1.373399830492E0, 2.452066209865E-10)); #1041=CARTESIAN_POINT('',(2.002828060402E1,-1.372843815137E0, 2.452098360835E-10)); #1042=CARTESIAN_POINT('',(2.002854124570E1,-1.372288331712E0, 2.452129826845E-10)); #1043=CARTESIAN_POINT('',(2.002880302298E1,-1.371733382541E0, 2.452161659972E-10)); #1044=CARTESIAN_POINT('',(2.002906593474E1,-1.371178969945E0, 2.452194374925E-10)); #1045=CARTESIAN_POINT('',(2.002932997990E1,-1.370625096244E0, 2.452226526125E-10)); #1046=CARTESIAN_POINT('',(2.002959515735E1,-1.370071763755E0, 2.452258385179E-10)); #1047=CARTESIAN_POINT('',(2.002986146598E1,-1.369518974793E0, 2.452291976570E-10)); #1048=CARTESIAN_POINT('',(2.003012890467E1,-1.368966731669E0, 2.452323926762E-10)); #1049=CARTESIAN_POINT('',(2.003039747232E1,-1.368415036695E0, 2.452357378743E-10)); #1050=CARTESIAN_POINT('',(2.003066716778E1,-1.367863892177E0, 2.452389795437E-10)); #1051=CARTESIAN_POINT('',(2.003093798994E1,-1.367313300422E0, 2.452423186157E-10)); #1052=CARTESIAN_POINT('',(2.003120993766E1,-1.366763263733E0, 2.452457046728E-10)); #1053=CARTESIAN_POINT('',(2.003148300981E1,-1.366213784411E0, 2.452489719202E-10)); #1054=CARTESIAN_POINT('',(2.003175720524E1,-1.365664864755E0, 2.452523343546E-10)); #1055=CARTESIAN_POINT('',(2.003203252281E1,-1.365116507060E0, 2.452557679175E-10)); #1056=CARTESIAN_POINT('',(2.003230896135E1,-1.364568713621E0, 2.452591548461E-10)); #1057=CARTESIAN_POINT('',(2.003258651973E1,-1.364021486729E0, 2.452624906502E-10)); #1058=CARTESIAN_POINT('',(2.003286519677E1,-1.363474828674E0, 2.452659110530E-10)); #1059=CARTESIAN_POINT('',(2.003314499131E1,-1.362928741742E0, 2.452693772524E-10)); #1060=CARTESIAN_POINT('',(2.003342590218E1,-1.362383228218E0, 2.452727422003E-10)); #1061=CARTESIAN_POINT('',(2.003370792821E1,-1.361838290383E0, 2.452762998243E-10)); #1062=CARTESIAN_POINT('',(2.003399106821E1,-1.361293930518E0, 2.452796875953E-10)); #1063=CARTESIAN_POINT('',(2.003427532100E1,-1.360750150900E0, 2.452832290356E-10)); #1064=CARTESIAN_POINT('',(2.003456068540E1,-1.360206953803E0, 2.452866587186E-10)); #1065=CARTESIAN_POINT('',(2.003484716020E1,-1.359664341500E0, 2.452903817617E-10)); #1066=CARTESIAN_POINT('',(2.003513474421E1,-1.359122316260E0, 2.452928765880E-10)); #1067=CARTESIAN_POINT('',(2.003542343622E1,-1.358580880352E0, 2.453004905216E-10)); #1068=CARTESIAN_POINT('',(2.003571323504E1,-1.358040036039E0, 2.452886897096E-10)); #1069=CARTESIAN_POINT('',(2.003600413944E1,-1.357499785586E0, 2.452963046009E-10)); #1070=CARTESIAN_POINT('',(2.003629614821E1,-1.356960131251E0, 2.452987955963E-10)); #1071=CARTESIAN_POINT('',(2.003658926013E1,-1.356421075292E0, 2.453026995389E-10)); #1072=CARTESIAN_POINT('',(2.003688347396E1,-1.355882619964E0, 2.453062421221E-10)); #1073=CARTESIAN_POINT('',(2.003717878849E1,-1.355344767520E0, 2.453098171955E-10)); #1074=CARTESIAN_POINT('',(2.003747520248E1,-1.354807520209E0, 2.453134571343E-10)); #1075=CARTESIAN_POINT('',(2.003777271468E1,-1.354270880280E0, 2.453171381881E-10)); #1076=CARTESIAN_POINT('',(2.003807132385E1,-1.353734849976E0, 2.453207564499E-10)); #1077=CARTESIAN_POINT('',(2.003837102874E1,-1.353199431541E0, 2.453244182313E-10)); #1078=CARTESIAN_POINT('',(2.003867182810E1,-1.352664627215E0, 2.453281352599E-10)); #1079=CARTESIAN_POINT('',(2.003897372067E1,-1.352130439233E0, 2.453317543135E-10)); #1080=CARTESIAN_POINT('',(2.003927670519E1,-1.351596869832E0, 2.453355434863E-10)); #1081=CARTESIAN_POINT('',(2.003958078038E1,-1.351063921243E0, 2.453392497577E-10)); #1082=CARTESIAN_POINT('',(2.003988594498E1,-1.350531595696E0, 2.453429509822E-10)); #1083=CARTESIAN_POINT('',(2.004019219772E1,-1.349999895417E0, 2.453467552955E-10)); #1084=CARTESIAN_POINT('',(2.004049953730E1,-1.349468822631E0, 2.453504853675E-10)); #1085=CARTESIAN_POINT('',(2.004080796245E1,-1.348938379559E0, 2.453542427827E-10)); #1086=CARTESIAN_POINT('',(2.004111747187E1,-1.348408568421E0, 2.453581315995E-10)); #1087=CARTESIAN_POINT('',(2.004142806426E1,-1.347879391432E0, 2.453618005337E-10)); #1088=CARTESIAN_POINT('',(2.004173973834E1,-1.347350850807E0, 2.453657179963E-10)); #1089=CARTESIAN_POINT('',(2.004205249279E1,-1.346822948756E0, 2.453695273617E-10)); #1090=CARTESIAN_POINT('',(2.004236632631E1,-1.346295687488E0, 2.453733540543E-10)); #1091=CARTESIAN_POINT('',(2.004268123759E1,-1.345769069209E0, 2.453772195352E-10)); #1092=CARTESIAN_POINT('',(2.004299722530E1,-1.345243096121E0, 2.453810790690E-10)); #1093=CARTESIAN_POINT('',(2.004331428813E1,-1.344717770425E0, 2.453849236028E-10)); #1094=CARTESIAN_POINT('',(2.004363242474E1,-1.344193094318E0, 2.453888340838E-10)); #1095=CARTESIAN_POINT('',(2.004395163382E1,-1.343669069996E0, 2.453928288433E-10)); #1096=CARTESIAN_POINT('',(2.004427191401E1,-1.343145699650E0, 2.453964205413E-10)); #1097=CARTESIAN_POINT('',(2.004459326399E1,-1.342622985470E0, 2.454015402066E-10)); #1098=CARTESIAN_POINT('',(2.004491568241E1,-1.342100929642E0, 2.454012841311E-10)); #1099=CARTESIAN_POINT('',(2.004523916791E1,-1.341579534351E0, 2.454206699848E-10)); #1100=CARTESIAN_POINT('',(2.004556371916E1,-1.341058801777E0, 2.454204876346E-10)); #1101=CARTESIAN_POINT('',(2.004588933478E1,-1.340538734099E0, 2.454256454657E-10)); #1102=CARTESIAN_POINT('',(2.004621601342E1,-1.340019333492E0, 2.454293438422E-10)); #1103=CARTESIAN_POINT('',(2.004654375371E1,-1.339500602130E0, 2.454333733224E-10)); #1104=CARTESIAN_POINT('',(2.004687255427E1,-1.338982542182E0, 2.454373713089E-10)); #1105=CARTESIAN_POINT('',(2.004720241375E1,-1.338465155816E0, 2.454414972334E-10)); #1106=CARTESIAN_POINT('',(2.004753333074E1,-1.337948445195E0, 2.454454759667E-10)); #1107=CARTESIAN_POINT('',(2.004786530387E1,-1.337432412482E0, 2.454495824600E-10)); #1108=CARTESIAN_POINT('',(2.004819833176E1,-1.336917059835E0, 2.454536581711E-10)); #1109=CARTESIAN_POINT('',(2.004853241300E1,-1.336402389410E0, 2.454577292512E-10)); #1110=CARTESIAN_POINT('',(2.004886754620E1,-1.335888403359E0, 2.454618496375E-10)); #1111=CARTESIAN_POINT('',(2.004920372996E1,-1.335375103834E0, 2.454659439634E-10)); #1112=CARTESIAN_POINT('',(2.004954096288E1,-1.334862492982E0, 2.454700932246E-10)); #1113=CARTESIAN_POINT('',(2.004987924353E1,-1.334350572946E0, 2.454742153382E-10)); #1114=CARTESIAN_POINT('',(2.005021857051E1,-1.333839345868E0, 2.454783911073E-10)); #1115=CARTESIAN_POINT('',(2.005055894239E1,-1.333328813887E0, 2.454825459353E-10)); #1116=CARTESIAN_POINT('',(2.005090035776E1,-1.332818979139E0, 2.454867308724E-10)); #1117=CARTESIAN_POINT('',(2.005124281518E1,-1.332309843757E0, 2.454909828476E-10)); #1118=CARTESIAN_POINT('',(2.005158631322E1,-1.331801409869E0, 2.454951030945E-10)); #1119=CARTESIAN_POINT('',(2.005193085045E1,-1.331293679604E0, 2.454993501496E-10)); #1120=CARTESIAN_POINT('',(2.005227642542E1,-1.330786655085E0, 2.455035547673E-10)); #1121=CARTESIAN_POINT('',(2.005262303668E1,-1.330280338433E0, 2.455078023265E-10)); #1122=CARTESIAN_POINT('',(2.005297068280E1,-1.329774731766E0, 2.455120870906E-10)); #1123=CARTESIAN_POINT('',(2.005331936231E1,-1.329269837200E0, 2.455163466269E-10)); #1124=CARTESIAN_POINT('',(2.005366907375E1,-1.328765656846E0, 2.455206698693E-10)); #1125=CARTESIAN_POINT('',(2.005401981567E1,-1.328262192813E0, 2.455249300486E-10)); #1126=CARTESIAN_POINT('',(2.005437158659E1,-1.327759447209E0, 2.455292122411E-10)); #1127=CARTESIAN_POINT('',(2.005472438504E1,-1.327257422135E0, 2.455336359772E-10)); #1128=CARTESIAN_POINT('',(2.005507820955E1,-1.326756119692E0, 2.455378045925E-10)); #1129=CARTESIAN_POINT('',(2.005543305864E1,-1.326255541977E0, 2.455425190803E-10)); #1130=CARTESIAN_POINT('',(2.005578893082E1,-1.325755691084E0, 2.455456382658E-10)); #1131=CARTESIAN_POINT('',(2.005614582460E1,-1.325256569105E0, 2.455542597213E-10)); #1132=CARTESIAN_POINT('',(2.005650373849E1,-1.324758178126E0, 2.455431335331E-10)); #1133=CARTESIAN_POINT('',(2.005686267100E1,-1.324260520234E0, 2.455517053442E-10)); #1134=CARTESIAN_POINT('',(2.005722262062E1,-1.323763597509E0, 2.455550231069E-10)); #1135=CARTESIAN_POINT('',(2.005758358585E1,-1.323267412031E0, 2.455596590642E-10)); #1136=CARTESIAN_POINT('',(2.005794556517E1,-1.322771965875E0, 2.455641097579E-10)); #1137=CARTESIAN_POINT('',(2.005830855707E1,-1.322277261114E0, 2.455684829120E-10)); #1138=CARTESIAN_POINT('',(2.005867256004E1,-1.321783299818E0, 2.455730184211E-10)); #1139=CARTESIAN_POINT('',(2.005903757255E1,-1.321290084052E0, 2.455774816499E-10)); #1140=CARTESIAN_POINT('',(2.005940359307E1,-1.320797615880E0, 2.455819051117E-10)); #1141=CARTESIAN_POINT('',(2.005977062008E1,-1.320305897363E0, 2.455863933885E-10)); #1142=CARTESIAN_POINT('',(2.006013865203E1,-1.319814930556E0, 2.455909952390E-10)); #1143=CARTESIAN_POINT('',(2.006050768739E1,-1.319324717515E0, 2.455954110464E-10)); #1144=CARTESIAN_POINT('',(2.006087772461E1,-1.318835260289E0, 2.455999578523E-10)); #1145=CARTESIAN_POINT('',(2.006124876215E1,-1.318346560926E0, 2.456044997741E-10)); #1146=CARTESIAN_POINT('',(2.006162079846E1,-1.317858621471E0, 2.456090967674E-10)); #1147=CARTESIAN_POINT('',(2.006199383197E1,-1.317371443965E0, 2.456136448923E-10)); #1148=CARTESIAN_POINT('',(2.006236786112E1,-1.316885030446E0, 2.456181668859E-10)); #1149=CARTESIAN_POINT('',(2.006274288437E1,-1.316399382948E0, 2.456228422731E-10)); #1150=CARTESIAN_POINT('',(2.006311890012E1,-1.315914503503E0, 2.456274298174E-10)); #1151=CARTESIAN_POINT('',(2.006349590681E1,-1.315430394140E0, 2.456320488062E-10)); #1152=CARTESIAN_POINT('',(2.006387390287E1,-1.314947056884E0, 2.456366298602E-10)); #1153=CARTESIAN_POINT('',(2.006425288671E1,-1.314464493756E0, 2.456413312089E-10)); #1154=CARTESIAN_POINT('',(2.006463285675E1,-1.313982706776E0, 2.456459223804E-10)); #1155=CARTESIAN_POINT('',(2.006501381139E1,-1.313501697958E0, 2.456506674324E-10)); #1156=CARTESIAN_POINT('',(2.006539574905E1,-1.313021469316E0, 2.456552402065E-10)); #1157=CARTESIAN_POINT('',(2.006577866812E1,-1.312542022858E0, 2.456600151449E-10)); #1158=CARTESIAN_POINT('',(2.006616256701E1,-1.312063360589E0, 2.456646533041E-10)); #1159=CARTESIAN_POINT('',(2.006654744410E1,-1.311585484512E0, 2.456694698827E-10)); #1160=CARTESIAN_POINT('',(2.006693329780E1,-1.311108396626E0, 2.456738760962E-10)); #1161=CARTESIAN_POINT('',(2.006732012647E1,-1.310632098928E0, 2.456797453506E-10)); #1162=CARTESIAN_POINT('',(2.006770792851E1,-1.310156593408E0, 2.456803393401E-10)); #1163=CARTESIAN_POINT('',(2.006809670230E1,-1.309681882057E0, 2.457005713482E-10)); #1164=CARTESIAN_POINT('',(2.006848644620E1,-1.309207966861E0, 2.457011503192E-10)); #1165=CARTESIAN_POINT('',(2.006887715859E1,-1.308734849801E0, 2.457070796478E-10)); #1166=CARTESIAN_POINT('',(2.006926883783E1,-1.308262532858E0, 2.457115936499E-10)); #1167=CARTESIAN_POINT('',(2.006966148229E1,-1.307791018006E0, 2.457164186002E-10)); #1168=CARTESIAN_POINT('',(2.007005509031E1,-1.307320307219E0, 2.457212485511E-10)); #1169=CARTESIAN_POINT('',(2.007044966026E1,-1.306850402465E0, 2.457260806182E-10)); #1170=CARTESIAN_POINT('',(2.007084519049E1,-1.306381305711E0, 2.457308992197E-10)); #1171=CARTESIAN_POINT('',(2.007124167933E1,-1.305913018918E0, 2.457357695675E-10)); #1172=CARTESIAN_POINT('',(2.007163912513E1,-1.305445544046E0, 2.457406129290E-10)); #1173=CARTESIAN_POINT('',(2.007203752624E1,-1.304978883050E0, 2.457455124897E-10)); #1174=CARTESIAN_POINT('',(2.007243688097E1,-1.304513037882E0, 2.457503807733E-10)); #1175=CARTESIAN_POINT('',(2.007283718766E1,-1.304048010492E0, 2.457553179659E-10)); #1176=CARTESIAN_POINT('',(2.007323844464E1,-1.303583802824E0, 2.457601773333E-10)); #1177=CARTESIAN_POINT('',(2.007364065023E1,-1.303120416821E0, 2.457651125591E-10)); #1178=CARTESIAN_POINT('',(2.007404380274E1,-1.302657854420E0, 2.457701552430E-10)); #1179=CARTESIAN_POINT('',(2.007444790049E1,-1.302196117557E0, 2.457750253033E-10)); #1180=CARTESIAN_POINT('',(2.007485294179E1,-1.301735208164E0, 2.457799787997E-10)); #1181=CARTESIAN_POINT('',(2.007525892495E1,-1.301275128168E0, 2.457849377084E-10)); #1182=CARTESIAN_POINT('',(2.007566584825E1,-1.300815879494E0, 2.457899580656E-10)); #1183=CARTESIAN_POINT('',(2.007607371002E1,-1.300357464064E0, 2.457948937496E-10)); #1184=CARTESIAN_POINT('',(2.007648250852E1,-1.299899883795E0, 2.457999401446E-10)); #1185=CARTESIAN_POINT('',(2.007689224207E1,-1.299443140601E0, 2.458049614359E-10)); #1186=CARTESIAN_POINT('',(2.007730290894E1,-1.298987236393E0, 2.458099724306E-10)); #1187=CARTESIAN_POINT('',(2.007771450741E1,-1.298532173078E0, 2.458150497158E-10)); #1188=CARTESIAN_POINT('',(2.007812703576E1,-1.298077952560E0, 2.458200386690E-10)); #1189=CARTESIAN_POINT('',(2.007854049228E1,-1.297624576739E0, 2.458251481262E-10)); #1190=CARTESIAN_POINT('',(2.007895487522E1,-1.297172047512E0, 2.458301969662E-10)); #1191=CARTESIAN_POINT('',(2.007937018285E1,-1.296720366771E0, 2.458353677708E-10)); #1192=CARTESIAN_POINT('',(2.007978641344E1,-1.296269536406E0, 2.458402778677E-10)); #1193=CARTESIAN_POINT('',(2.008020356525E1,-1.295819558303E0, 2.458457757640E-10)); #1194=CARTESIAN_POINT('',(2.008062163653E1,-1.295370434344E0, 2.458496827708E-10)); #1195=CARTESIAN_POINT('',(2.008104062552E1,-1.294922166409E0, 2.458590324694E-10)); #1196=CARTESIAN_POINT('',(2.008146053049E1,-1.294474756372E0, 2.458487018908E-10)); #1197=CARTESIAN_POINT('',(2.008188134967E1,-1.294028206105E0, 2.458580259571E-10)); #1198=CARTESIAN_POINT('',(2.008230308130E1,-1.293582517476E0, 2.458620354929E-10)); #1199=CARTESIAN_POINT('',(2.008272572362E1,-1.293137692350E0, 2.458674819723E-10)); #1200=CARTESIAN_POINT('',(2.008314927486E1,-1.292693732588E0, 2.458726617412E-10)); #1201=CARTESIAN_POINT('',(2.008357373325E1,-1.292250640046E0, 2.458778044755E-10)); #1202=CARTESIAN_POINT('',(2.008399909701E1,-1.291808416578E0, 2.458830289916E-10)); #1203=CARTESIAN_POINT('',(2.008442536436E1,-1.291367064034E0, 2.458882964823E-10)); #1204=CARTESIAN_POINT('',(2.008485253352E1,-1.290926584261E0, 2.458934768263E-10)); #1205=CARTESIAN_POINT('',(2.008528060271E1,-1.290486979101E0, 2.458987962489E-10)); #1206=CARTESIAN_POINT('',(2.008570957012E1,-1.290048250392E0, 2.459039795706E-10)); #1207=CARTESIAN_POINT('',(2.008613943397E1,-1.289610399972E0, 2.459092351504E-10)); #1208=CARTESIAN_POINT('',(2.008657019247E1,-1.289173429670E0, 2.459145043323E-10)); #1209=CARTESIAN_POINT('',(2.008700184379E1,-1.288737341316E0, 2.459198133811E-10)); #1210=CARTESIAN_POINT('',(2.008743438616E1,-1.288302136732E0, 2.459251158937E-10)); #1211=CARTESIAN_POINT('',(2.008786781774E1,-1.287867817741E0, 2.459304046839E-10)); #1212=CARTESIAN_POINT('',(2.008830213673E1,-1.287434386159E0, 2.459357549003E-10)); #1213=CARTESIAN_POINT('',(2.008873734131E1,-1.287001843798E0, 2.459410396678E-10)); #1214=CARTESIAN_POINT('',(2.008917342967E1,-1.286570192469E0, 2.459463582709E-10)); #1215=CARTESIAN_POINT('',(2.008961039997E1,-1.286139433977E0, 2.459517735141E-10)); #1216=CARTESIAN_POINT('',(2.009004825039E1,-1.285709570124E0, 2.459571014284E-10)); #1217=CARTESIAN_POINT('',(2.009048697910E1,-1.285280602708E0, 2.459625154845E-10)); #1218=CARTESIAN_POINT('',(2.009092658426E1,-1.284852533524E0, 2.459678388356E-10)); #1219=CARTESIAN_POINT('',(2.009136706403E1,-1.284425364363E0, 2.459732723317E-10)); #1220=CARTESIAN_POINT('',(2.009180841657E1,-1.283999097011E0, 2.459786890199E-10)); #1221=CARTESIAN_POINT('',(2.009225064004E1,-1.283573733252E0, 2.459840627944E-10)); #1222=CARTESIAN_POINT('',(2.009269373258E1,-1.283149274866E0, 2.459894584982E-10)); #1223=CARTESIAN_POINT('',(2.009313769235E1,-1.282725723627E0, 2.459949759320E-10)); #1224=CARTESIAN_POINT('',(2.009358251747E1,-1.282303081307E0, 2.460001510498E-10)); #1225=CARTESIAN_POINT('',(2.009402820610E1,-1.281881349675E0, 2.460067402352E-10)); #1226=CARTESIAN_POINT('',(2.009447475637E1,-1.281460530495E0, 2.460080154661E-10)); #1227=CARTESIAN_POINT('',(2.009492216641E1,-1.281040625528E0, 2.460289659139E-10)); #1228=CARTESIAN_POINT('',(2.009537043434E1,-1.280621636529E0, 2.460303197545E-10)); #1229=CARTESIAN_POINT('',(2.009581955830E1,-1.280203565252E0, 2.460369275683E-10)); #1230=CARTESIAN_POINT('',(2.009626953640E1,-1.279786413445E0, 2.460421160963E-10)); #1231=CARTESIAN_POINT('',(2.009672036677E1,-1.279370182854E0, 2.460477277941E-10)); #1232=CARTESIAN_POINT('',(2.009717204751E1,-1.278954875220E0, 2.460532326323E-10)); #1233=CARTESIAN_POINT('',(2.009762457674E1,-1.278540492279E0, 2.460587417390E-10)); #1234=CARTESIAN_POINT('',(2.009807795256E1,-1.278127035767E0, 2.460643406310E-10)); #1235=CARTESIAN_POINT('',(2.009853217308E1,-1.277714507412E0, 2.460699091805E-10)); #1236=CARTESIAN_POINT('',(2.009898723639E1,-1.277302908939E0, 2.460755093150E-10)); #1237=CARTESIAN_POINT('',(2.009944314060E1,-1.276892242072E0, 2.460810134515E-10)); #1238=CARTESIAN_POINT('',(2.009989988379E1,-1.276482508527E0, 2.460867034618E-10)); #1239=CARTESIAN_POINT('',(2.010035746406E1,-1.276073710019E0, 2.460922455753E-10)); #1240=CARTESIAN_POINT('',(2.010081587949E1,-1.275665848257E0, 2.460978603350E-10)); #1241=CARTESIAN_POINT('',(2.010127512816E1,-1.275258924949E0, 2.461034989405E-10)); #1242=CARTESIAN_POINT('',(2.010173520816E1,-1.274852941796E0, 2.461091360499E-10)); #1243=CARTESIAN_POINT('',(2.010219611755E1,-1.274447900497E0, 2.461147552977E-10)); #1244=CARTESIAN_POINT('',(2.010265785442E1,-1.274043802746E0, 2.461204474883E-10)); #1245=CARTESIAN_POINT('',(2.010312041682E1,-1.273640650233E0, 2.461260323027E-10)); #1246=CARTESIAN_POINT('',(2.010358380282E1,-1.273238444646E0, 2.461318071455E-10)); #1247=CARTESIAN_POINT('',(2.010404801049E1,-1.272837187666E0, 2.461374288515E-10)); #1248=CARTESIAN_POINT('',(2.010451303788E1,-1.272436880972E0, 2.461431400091E-10)); #1249=CARTESIAN_POINT('',(2.010497888305E1,-1.272037526239E0, 2.461488130309E-10)); #1250=CARTESIAN_POINT('',(2.010544554404E1,-1.271639125137E0, 2.461545491442E-10)); #1251=CARTESIAN_POINT('',(2.010591301892E1,-1.271241679333E0, 2.461602375604E-10)); #1252=CARTESIAN_POINT('',(2.010638130571E1,-1.270845190490E0, 2.461660536739E-10)); #1253=CARTESIAN_POINT('',(2.010685040246E1,-1.270449660266E0, 2.461717397619E-10)); #1254=CARTESIAN_POINT('',(2.010732030722E1,-1.270055090316E0, 2.461774851879E-10)); #1255=CARTESIAN_POINT('',(2.010779101800E1,-1.269661482291E0, 2.461832898208E-10)); #1256=CARTESIAN_POINT('',(2.010826253285E1,-1.269268837836E0, 2.461889648216E-10)); #1257=CARTESIAN_POINT('',(2.010873484980E1,-1.268877158595E0, 2.461950991438E-10)); #1258=CARTESIAN_POINT('',(2.010920796686E1,-1.268486446206E0, 2.461996923463E-10)); #1259=CARTESIAN_POINT('',(2.010968188205E1,-1.268096702304E0, 2.462096576391E-10)); #1260=CARTESIAN_POINT('',(2.011015659341E1,-1.267707928518E0, 2.462000087572E-10)); #1261=CARTESIAN_POINT('',(2.011063209892E1,-1.267320126476E0, 2.462099872451E-10)); #1262=CARTESIAN_POINT('',(2.011110839662E1,-1.266933297799E0, 2.462146942006E-10)); #1263=CARTESIAN_POINT('',(2.011158548451E1,-1.266547444106E0, 2.462208599158E-10)); #1264=CARTESIAN_POINT('',(2.011206336059E1,-1.266162567012E0, 2.462266286582E-10)); #1265=CARTESIAN_POINT('',(2.011254202285E1,-1.265778668125E0, 2.462325265323E-10)); #1266=CARTESIAN_POINT('',(2.011302146931E1,-1.265395749052E0, 2.462383048521E-10)); #1267=CARTESIAN_POINT('',(2.011350169796E1,-1.265013811394E0, 2.462442657240E-10)); #1268=CARTESIAN_POINT('',(2.011398270677E1,-1.264632856751E0, 2.462501155423E-10)); #1269=CARTESIAN_POINT('',(2.011446449375E1,-1.264252886714E0, 2.462560604894E-10)); #1270=CARTESIAN_POINT('',(2.011494705688E1,-1.263873902874E0, 2.462619025083E-10)); #1271=CARTESIAN_POINT('',(2.011543039414E1,-1.263495906817E0, 2.462678945779E-10)); #1272=CARTESIAN_POINT('',(2.011591450350E1,-1.263118900122E0, 2.462737224396E-10)); #1273=CARTESIAN_POINT('',(2.011639938294E1,-1.262742884369E0, 2.462797240155E-10)); #1274=CARTESIAN_POINT('',(2.011688503044E1,-1.262367861128E0, 2.462856945428E-10)); #1275=CARTESIAN_POINT('',(2.011737144396E1,-1.261993831970E0, 2.462916155503E-10)); #1276=CARTESIAN_POINT('',(2.011785862147E1,-1.261620798459E0, 2.462975991521E-10)); #1277=CARTESIAN_POINT('',(2.011834656092E1,-1.261248762155E0, 2.463035484300E-10)); #1278=CARTESIAN_POINT('',(2.011883526029E1,-1.260877724615E0, 2.463095724091E-10)); #1279=CARTESIAN_POINT('',(2.011932471751E1,-1.260507687392E0, 2.463154984406E-10)); #1280=CARTESIAN_POINT('',(2.011981493055E1,-1.260138652032E0, 2.463215750282E-10)); #1281=CARTESIAN_POINT('',(2.012030589736E1,-1.259770620079E0, 2.463274804055E-10)); #1282=CARTESIAN_POINT('',(2.012079761588E1,-1.259403593074E0, 2.463335870013E-10)); #1283=CARTESIAN_POINT('',(2.012129008405E1,-1.259037572552E0, 2.463395595338E-10)); #1284=CARTESIAN_POINT('',(2.012178329982E1,-1.258672560044E0, 2.463457005674E-10)); #1285=CARTESIAN_POINT('',(2.012227726111E1,-1.258308557076E0, 2.463516347268E-10)); #1286=CARTESIAN_POINT('',(2.012277196587E1,-1.257945565172E0, 2.463577282816E-10)); #1287=CARTESIAN_POINT('',(2.012326741203E1,-1.257583585850E0, 2.463638907294E-10)); #1288=CARTESIAN_POINT('',(2.012376359751E1,-1.257222620624E0, 2.463696182099E-10)); #1289=CARTESIAN_POINT('',(2.012426052023E1,-1.256862671004E0, 2.463768501330E-10)); #1290=CARTESIAN_POINT('',(2.012475817812E1,-1.256503738497E0, 2.463788323200E-10)); #1291=CARTESIAN_POINT('',(2.012525656910E1,-1.256145824603E0, 2.464003090086E-10)); #1292=CARTESIAN_POINT('',(2.012575569108E1,-1.255788930820E0, 2.464023481321E-10)); #1293=CARTESIAN_POINT('',(2.012625554197E1,-1.255433058640E0, 2.464095188427E-10)); #1294=CARTESIAN_POINT('',(2.012675611969E1,-1.255078209553E0, 2.464154342366E-10)); #1295=CARTESIAN_POINT('',(2.012725742213E1,-1.254724385044E0, 2.464215723772E-10)); #1296=CARTESIAN_POINT('',(2.012775944721E1,-1.254371586591E0, 2.464277417809E-10)); #1297=CARTESIAN_POINT('',(2.012826219281E1,-1.254019815671E0, 2.464338964521E-10)); #1298=CARTESIAN_POINT('',(2.012876565684E1,-1.253669073756E0, 2.464400787902E-10)); #1299=CARTESIAN_POINT('',(2.012926983720E1,-1.253319362313E0, 2.464461651935E-10)); #1300=CARTESIAN_POINT('',(2.012977473176E1,-1.252970682804E0, 2.464524411355E-10)); #1301=CARTESIAN_POINT('',(2.013028033843E1,-1.252623036688E0, 2.464585544578E-10)); #1302=CARTESIAN_POINT('',(2.013078665508E1,-1.252276425421E0, 2.464647956531E-10)); #1303=CARTESIAN_POINT('',(2.013129367960E1,-1.251930850451E0, 2.464710210433E-10)); #1304=CARTESIAN_POINT('',(2.013180140987E1,-1.251586313224E0, 2.464771817811E-10)); #1305=CARTESIAN_POINT('',(2.013230984375E1,-1.251242815182E0, 2.464834503998E-10)); #1306=CARTESIAN_POINT('',(2.013281897914E1,-1.250900357761E0, 2.464896852140E-10)); #1307=CARTESIAN_POINT('',(2.013332881388E1,-1.250558942394E0, 2.464959473656E-10)); #1308=CARTESIAN_POINT('',(2.013383934587E1,-1.250218570510E0, 2.465021339724E-10)); #1309=CARTESIAN_POINT('',(2.013435057294E1,-1.249879243532E0, 2.465084288872E-10)); #1310=CARTESIAN_POINT('',(2.013486249298E1,-1.249540962879E0, 2.465146991817E-10)); #1311=CARTESIAN_POINT('',(2.013537510383E1,-1.249203729968E0, 2.465209596492E-10)); #1312=CARTESIAN_POINT('',(2.013588840336E1,-1.248867546208E0, 2.465272840453E-10)); #1313=CARTESIAN_POINT('',(2.013640238941E1,-1.248532413006E0, 2.465335290875E-10)); #1314=CARTESIAN_POINT('',(2.013691705983E1,-1.248198331763E0, 2.465398610829E-10)); #1315=CARTESIAN_POINT('',(2.013743241248E1,-1.247865303879E0, 2.465460911531E-10)); #1316=CARTESIAN_POINT('',(2.013794844519E1,-1.247533330744E0, 2.465524754375E-10)); #1317=CARTESIAN_POINT('',(2.013846515581E1,-1.247202413749E0, 2.465588443907E-10)); #1318=CARTESIAN_POINT('',(2.013898254218E1,-1.246872554278E0, 2.465651204544E-10)); #1319=CARTESIAN_POINT('',(2.013950060213E1,-1.246543753711E0, 2.465714503406E-10)); #1320=CARTESIAN_POINT('',(2.014001933349E1,-1.246216013422E0, 2.465778243595E-10)); #1321=CARTESIAN_POINT('',(2.014053873410E1,-1.245889334783E0, 2.465844676253E-10)); #1322=CARTESIAN_POINT('',(2.014105880177E1,-1.245563719161E0, 2.465896567041E-10)); #1323=CARTESIAN_POINT('',(2.014157953435E1,-1.245239167918E0, 2.466002267507E-10)); #1324=CARTESIAN_POINT('',(2.014210092964E1,-1.244915682411E0, 2.465910601799E-10)); #1325=CARTESIAN_POINT('',(2.014262298547E1,-1.244593263994E0, 2.466016688052E-10)); #1326=CARTESIAN_POINT('',(2.014314569965E1,-1.244271914015E0, 2.466068701027E-10)); #1327=CARTESIAN_POINT('',(2.014366906999E1,-1.243951633819E0, 2.466135924483E-10)); #1328=CARTESIAN_POINT('',(2.014419309432E1,-1.243632424746E0, 2.466199709959E-10)); #1329=CARTESIAN_POINT('',(2.014471777042E1,-1.243314288131E0, 2.466263702212E-10)); #1330=CARTESIAN_POINT('',(2.014524309612E1,-1.242997225304E0, 2.466328640004E-10)); #1331=CARTESIAN_POINT('',(2.014576906921E1,-1.242681237592E0, 2.466392919530E-10)); #1332=CARTESIAN_POINT('',(2.014629568749E1,-1.242366326318E0, 2.466457221246E-10)); #1333=CARTESIAN_POINT('',(2.014682294875E1,-1.242052492798E0, 2.466522092467E-10)); #1334=CARTESIAN_POINT('',(2.014735085080E1,-1.241739738345E0, 2.466586328814E-10)); #1335=CARTESIAN_POINT('',(2.014787939143E1,-1.241428064267E0, 2.466650869819E-10)); #1336=CARTESIAN_POINT('',(2.014840856842E1,-1.241117471869E0, 2.466716492400E-10)); #1337=CARTESIAN_POINT('',(2.014893837956E1,-1.240807962450E0, 2.466780814685E-10)); #1338=CARTESIAN_POINT('',(2.014946882263E1,-1.240499537304E0, 2.466845925911E-10)); #1339=CARTESIAN_POINT('',(2.014999989541E1,-1.240192197722E0, 2.466910847005E-10)); #1340=CARTESIAN_POINT('',(2.015053159569E1,-1.239885944989E0, 2.466975739685E-10)); #1341=CARTESIAN_POINT('',(2.015106392124E1,-1.239580780386E0, 2.467040936152E-10)); #1342=CARTESIAN_POINT('',(2.015159686983E1,-1.239276705191E0, 2.467106611219E-10)); #1343=CARTESIAN_POINT('',(2.015213043923E1,-1.238973720675E0, 2.467171733436E-10)); #1344=CARTESIAN_POINT('',(2.015266462721E1,-1.238671828105E0, 2.467236923119E-10)); #1345=CARTESIAN_POINT('',(2.015319943154E1,-1.238371028745E0, 2.467302395786E-10)); #1346=CARTESIAN_POINT('',(2.015373484997E1,-1.238071323853E0, 2.467368334383E-10)); #1347=CARTESIAN_POINT('',(2.015427088028E1,-1.237772714682E0, 2.467433791616E-10)); #1348=CARTESIAN_POINT('',(2.015480752021E1,-1.237475202482E0, 2.467499043038E-10)); #1349=CARTESIAN_POINT('',(2.015534476752E1,-1.237178788498E0, 2.467565599067E-10)); #1350=CARTESIAN_POINT('',(2.015588261996E1,-1.236883473968E0, 2.467630473147E-10)); #1351=CARTESIAN_POINT('',(2.015642107529E1,-1.236589260129E0, 2.467697439750E-10)); #1352=CARTESIAN_POINT('',(2.015696013125E1,-1.236296148212E0, 2.467761048877E-10)); #1353=CARTESIAN_POINT('',(2.015749978558E1,-1.236004139443E0, 2.467837660722E-10)); #1354=CARTESIAN_POINT('',(2.015804003604E1,-1.235713235042E0, 2.467862288499E-10)); #1355=CARTESIAN_POINT('',(2.015858088035E1,-1.235423436228E0, 2.468083515165E-10)); #1356=CARTESIAN_POINT('',(2.015912231626E1,-1.235134744212E0, 2.468108233399E-10)); #1357=CARTESIAN_POINT('',(2.015966434150E1,-1.234847160202E0, 2.468186148753E-10)); #1358=CARTESIAN_POINT('',(2.016020695380E1,-1.234560685402E0, 2.468249449389E-10)); #1359=CARTESIAN_POINT('',(2.016075015090E1,-1.234275321008E0, 2.468316346445E-10)); #1360=CARTESIAN_POINT('',(2.016129393052E1,-1.233991068217E0, 2.468383472540E-10)); #1361=CARTESIAN_POINT('',(2.016183829038E1,-1.233707928215E0, 2.468449416728E-10)); #1362=CARTESIAN_POINT('',(2.016238322822E1,-1.233425902189E0, 2.468516528835E-10)); #1363=CARTESIAN_POINT('',(2.016292874174E1,-1.233144991317E0, 2.468583481843E-10)); #1364=CARTESIAN_POINT('',(2.016347482867E1,-1.232865196775E0, 2.468649903328E-10)); #1365=CARTESIAN_POINT('',(2.016402148673E1,-1.232586519734E0, 2.468716944670E-10)); #1366=CARTESIAN_POINT('',(2.016456871362E1,-1.232308961358E0, 2.468783703439E-10)); #1367=CARTESIAN_POINT('',(2.016511650706E1,-1.232032522809E0, 2.468850972645E-10)); #1368=CARTESIAN_POINT('',(2.016566486475E1,-1.231757205245E0, 2.468918148009E-10)); #1369=CARTESIAN_POINT('',(2.016621378441E1,-1.231483009815E0, 2.468985188304E-10)); #1370=CARTESIAN_POINT('',(2.016676326373E1,-1.231209937668E0, 2.469052862719E-10)); #1371=CARTESIAN_POINT('',(2.016731330042E1,-1.230937989945E0, 2.469119801056E-10)); #1372=CARTESIAN_POINT('',(2.016786389217E1,-1.230667167785E0, 2.469187384253E-10)); #1373=CARTESIAN_POINT('',(2.016841503669E1,-1.230397472320E0, 2.469254789419E-10)); #1374=CARTESIAN_POINT('',(2.016896673167E1,-1.230128904678E0, 2.469322261849E-10)); #1375=CARTESIAN_POINT('',(2.016951897479E1,-1.229861465984E0, 2.469389643257E-10)); #1376=CARTESIAN_POINT('',(2.017007176375E1,-1.229595157355E0, 2.469457321489E-10)); #1377=CARTESIAN_POINT('',(2.017062509624E1,-1.229329979906E0, 2.469525568780E-10)); #1378=CARTESIAN_POINT('',(2.017117896994E1,-1.229065934746E0, 2.469592908344E-10)); #1379=CARTESIAN_POINT('',(2.017173338254E1,-1.228803022980E0, 2.469661644425E-10)); #1380=CARTESIAN_POINT('',(2.017228833171E1,-1.228541245707E0, 2.469729032833E-10)); #1381=CARTESIAN_POINT('',(2.017284381513E1,-1.228280604022E0, 2.469797084747E-10)); #1382=CARTESIAN_POINT('',(2.017339983049E1,-1.228021099017E0, 2.469865495644E-10)); #1383=CARTESIAN_POINT('',(2.017395637545E1,-1.227762731776E0, 2.469933472440E-10)); #1384=CARTESIAN_POINT('',(2.017451344768E1,-1.227505503380E0, 2.470001161320E-10)); #1385=CARTESIAN_POINT('',(2.017507104487E1,-1.227249414906E0, 2.470072101297E-10)); #1386=CARTESIAN_POINT('',(2.017562916466E1,-1.226994467425E0, 2.470128659471E-10)); #1387=CARTESIAN_POINT('',(2.017618780473E1,-1.226740662002E0, 2.470239493761E-10)); #1388=CARTESIAN_POINT('',(2.017674696275E1,-1.226487999701E0, 2.470152601391E-10)); #1389=CARTESIAN_POINT('',(2.017730663636E1,-1.226236481577E0, 2.470262771158E-10)); #1390=CARTESIAN_POINT('',(2.017786682324E1,-1.225986108684E0, 2.470320322091E-10)); #1391=CARTESIAN_POINT('',(2.017842752103E1,-1.225736882068E0, 2.470391286220E-10)); #1392=CARTESIAN_POINT('',(2.017898872740E1,-1.225488802772E0, 2.470459551069E-10)); #1393=CARTESIAN_POINT('',(2.017955043999E1,-1.225241871833E0, 2.470528530511E-10)); #1394=CARTESIAN_POINT('',(2.018011265646E1,-1.224996090286E0, 2.470597350859E-10)); #1395=CARTESIAN_POINT('',(2.018067537444E1,-1.224751459158E0, 2.470666092989E-10)); #1396=CARTESIAN_POINT('',(2.018123859160E1,-1.224507979471E0, 2.470735307086E-10)); #1397=CARTESIAN_POINT('',(2.018180230557E1,-1.224265652246E0, 2.470804376866E-10)); #1398=CARTESIAN_POINT('',(2.018236651399E1,-1.224024478495E0, 2.470873551950E-10)); #1399=CARTESIAN_POINT('',(2.018293121451E1,-1.223784459228E0, 2.470942450132E-10)); #1400=CARTESIAN_POINT('',(2.018349640476E1,-1.223545595448E0, 2.471012350621E-10)); #1401=CARTESIAN_POINT('',(2.018406208238E1,-1.223307888156E0, 2.471080184117E-10)); #1402=CARTESIAN_POINT('',(2.018462824499E1,-1.223071338344E0, 2.471150287274E-10)); #1403=CARTESIAN_POINT('',(2.018519489024E1,-1.222835947003E0, 2.471220040119E-10)); #1404=CARTESIAN_POINT('',(2.018576201576E1,-1.222601715117E0, 2.471288924552E-10)); #1405=CARTESIAN_POINT('',(2.018632961916E1,-1.222368643667E0, 2.471358302275E-10)); #1406=CARTESIAN_POINT('',(2.018689769808E1,-1.222136733627E0, 2.471428240583E-10)); #1407=CARTESIAN_POINT('',(2.018746625013E1,-1.221905985968E0, 2.471497108595E-10)); #1408=CARTESIAN_POINT('',(2.018803527295E1,-1.221676401654E0, 2.471568031873E-10)); #1409=CARTESIAN_POINT('',(2.018860476415E1,-1.221447981647E0, 2.471636800388E-10)); #1410=CARTESIAN_POINT('',(2.018917472134E1,-1.221220726901E0, 2.471707136685E-10)); #1411=CARTESIAN_POINT('',(2.018974514215E1,-1.220994638367E0, 2.471776687285E-10)); #1412=CARTESIAN_POINT('',(2.019031602418E1,-1.220769716992E0, 2.471846147558E-10)); #1413=CARTESIAN_POINT('',(2.019088736506E1,-1.220545963716E0, 2.471916754835E-10)); #1414=CARTESIAN_POINT('',(2.019145916238E1,-1.220323379475E0, 2.471986195090E-10)); #1415=CARTESIAN_POINT('',(2.019203141376E1,-1.220101965200E0, 2.472057491098E-10)); #1416=CARTESIAN_POINT('',(2.019260411680E1,-1.219881721817E0, 2.472124196447E-10)); #1417=CARTESIAN_POINT('',(2.019317726911E1,-1.219662650249E0, 2.472205743346E-10)); #1418=CARTESIAN_POINT('',(2.019375086829E1,-1.219444751410E0, 2.472234180039E-10)); #1419=CARTESIAN_POINT('',(2.019432491194E1,-1.219228026214E0, 2.472460216008E-10)); #1420=CARTESIAN_POINT('',(2.019489939766E1,-1.219012475565E0, 2.472488533468E-10)); #1421=CARTESIAN_POINT('',(2.019547432305E1,-1.218798100367E0, 2.472570557296E-10)); #1422=CARTESIAN_POINT('',(2.019604968569E1,-1.218584901516E0, 2.472637139497E-10)); #1423=CARTESIAN_POINT('',(2.019662548319E1,-1.218372879904E0, 2.472708451166E-10)); #1424=CARTESIAN_POINT('',(2.019720171313E1,-1.218162036417E0, 2.472779617261E-10)); #1425=CARTESIAN_POINT('',(2.019777837311E1,-1.217952371938E0, 2.472849966855E-10)); #1426=CARTESIAN_POINT('',(2.019835546071E1,-1.217743887344E0, 2.472920397355E-10)); #1427=CARTESIAN_POINT('',(2.019893297351E1,-1.217536583507E0, 2.472991320735E-10)); #1428=CARTESIAN_POINT('',(2.019951090911E1,-1.217330461294E0, 2.473061857021E-10)); #1429=CARTESIAN_POINT('',(2.020008926509E1,-1.217125521567E0, 2.473133448804E-10)); #1430=CARTESIAN_POINT('',(2.020066803901E1,-1.216921765184E0, 2.473202871028E-10)); #1431=CARTESIAN_POINT('',(2.020124722847E1,-1.216719192998E0, 2.473274919985E-10)); #1432=CARTESIAN_POINT('',(2.020182683105E1,-1.216517805855E0, 2.473345292908E-10)); #1433=CARTESIAN_POINT('',(2.020240684431E1,-1.216317604598E0, 2.473416412566E-10)); #1434=CARTESIAN_POINT('',(2.020298726582E1,-1.216118590064E0, 2.473487886653E-10)); #1435=CARTESIAN_POINT('',(2.020356809317E1,-1.215920763087E0, 2.473558861625E-10)); #1436=CARTESIAN_POINT('',(2.020414932392E1,-1.215724124493E0, 2.473629813290E-10)); #1437=CARTESIAN_POINT('',(2.020473095565E1,-1.215528675105E0, 2.473701357302E-10)); #1438=CARTESIAN_POINT('',(2.020531298590E1,-1.215334415741E0, 2.473772220564E-10)); #1439=CARTESIAN_POINT('',(2.020589541227E1,-1.215141347214E0, 2.473843549146E-10)); #1440=CARTESIAN_POINT('',(2.020647823229E1,-1.214949470331E0, 2.473915362531E-10)); #1441=CARTESIAN_POINT('',(2.020706144355E1,-1.214758785894E0, 2.473986436720E-10)); #1442=CARTESIAN_POINT('',(2.020764504359E1,-1.214569294702E0, 2.474058317556E-10)); #1443=CARTESIAN_POINT('',(2.020822902998E1,-1.214380997547E0, 2.474129376333E-10)); #1444=CARTESIAN_POINT('',(2.020881340028E1,-1.214193895218E0, 2.474201251363E-10)); #1445=CARTESIAN_POINT('',(2.020939815203E1,-1.214007988495E0, 2.474272348776E-10)); #1446=CARTESIAN_POINT('',(2.020998328280E1,-1.213823278158E0, 2.474344075070E-10)); #1447=CARTESIAN_POINT('',(2.021056879013E1,-1.213639764979E0, 2.474415728794E-10)); #1448=CARTESIAN_POINT('',(2.021115467158E1,-1.213457449726E0, 2.474487043914E-10)); #1449=CARTESIAN_POINT('',(2.021174092469E1,-1.213276333161E0, 2.474561451355E-10)); #1450=CARTESIAN_POINT('',(2.021232754702E1,-1.213096416042E0, 2.474622162780E-10)); #1451=CARTESIAN_POINT('',(2.021291453610E1,-1.212917699122E0, 2.474736231285E-10)); #1452=CARTESIAN_POINT('',(2.021350188949E1,-1.212740183148E0, 2.474652232820E-10)); #1453=CARTESIAN_POINT('',(2.021408960472E1,-1.212563868863E0, 2.474765911432E-10)); #1454=CARTESIAN_POINT('',(2.021467767935E1,-1.212388757004E0, 2.474826517094E-10)); #1455=CARTESIAN_POINT('',(2.021526611089E1,-1.212214848304E0, 2.474901737476E-10)); #1456=CARTESIAN_POINT('',(2.021585489691E1,-1.212042143491E0, 2.474973237267E-10)); #1457=CARTESIAN_POINT('',(2.021644403493E1,-1.211870643287E0, 2.475045004702E-10)); #1458=CARTESIAN_POINT('',(2.021703352248E1,-1.211700348409E0, 2.475117756817E-10)); #1459=CARTESIAN_POINT('',(2.021762335711E1,-1.211531259570E0, 2.475189633236E-10)); #1460=CARTESIAN_POINT('',(2.021821353634E1,-1.211363377477E0, 2.475262362423E-10)); #1461=CARTESIAN_POINT('',(2.021880405771E1,-1.211196702833E0, 2.475334221571E-10)); #1462=CARTESIAN_POINT('',(2.021939491874E1,-1.211031236334E0, 2.475407042770E-10)); #1463=CARTESIAN_POINT('',(2.021998611697E1,-1.210866978673E0, 2.475478551139E-10)); #1464=CARTESIAN_POINT('',(2.022057764992E1,-1.210703930537E0, 2.475551018112E-10)); #1465=CARTESIAN_POINT('',(2.022116951511E1,-1.210542092608E0, 2.475624294166E-10)); #1466=CARTESIAN_POINT('',(2.022176171007E1,-1.210381465564E0, 2.475696705959E-10)); #1467=CARTESIAN_POINT('',(2.022235423233E1,-1.210222050075E0, 2.475768435045E-10)); #1468=CARTESIAN_POINT('',(2.022294707940E1,-1.210063846810E0, 2.475842093889E-10)); #1469=CARTESIAN_POINT('',(2.022354024881E1,-1.209906856429E0, 2.475913712410E-10)); #1470=CARTESIAN_POINT('',(2.022413373807E1,-1.209751079589E0, 2.475986566461E-10)); #1471=CARTESIAN_POINT('',(2.022472754469E1,-1.209596516943E0, 2.476059849385E-10)); #1472=CARTESIAN_POINT('',(2.022532166621E1,-1.209443169137E0, 2.476131846622E-10)); #1473=CARTESIAN_POINT('',(2.022591610012E1,-1.209291036811E0, 2.476205227062E-10)); #1474=CARTESIAN_POINT('',(2.022651084395E1,-1.209140120604E0, 2.476277691050E-10)); #1475=CARTESIAN_POINT('',(2.022710589520E1,-1.208990421145E0, 2.476350772306E-10)); #1476=CARTESIAN_POINT('',(2.022770125139E1,-1.208841939061E0, 2.476423966278E-10)); #1477=CARTESIAN_POINT('',(2.022829691003E1,-1.208694674974E0, 2.476496092116E-10)); #1478=CARTESIAN_POINT('',(2.022889286862E1,-1.208548629499E0, 2.476569047107E-10)); #1479=CARTESIAN_POINT('',(2.022948912467E1,-1.208403803248E0, 2.476643084289E-10)); #1480=CARTESIAN_POINT('',(2.023008567569E1,-1.208260196826E0, 2.476713628886E-10)); #1481=CARTESIAN_POINT('',(2.023068251918E1,-1.208117810833E0, 2.476797061633E-10)); #1482=CARTESIAN_POINT('',(2.023127965265E1,-1.207976645867E0, 2.476829103699E-10)); #1483=CARTESIAN_POINT('',(2.023187707359E1,-1.207836702516E0, 2.477057151005E-10)); #1484=CARTESIAN_POINT('',(2.023247477951E1,-1.207697981367E0, 2.477088805754E-10)); #1485=CARTESIAN_POINT('',(2.023307276791E1,-1.207560482999E0, 2.477173787768E-10)); #1486=CARTESIAN_POINT('',(2.023367103628E1,-1.207424207989E0, 2.477243518615E-10)); #1487=CARTESIAN_POINT('',(2.023426958212E1,-1.207289156906E0, 2.477317596197E-10)); #1488=CARTESIAN_POINT('',(2.023486840294E1,-1.207155330315E0, 2.477391203340E-10)); #1489=CARTESIAN_POINT('',(2.023546749621E1,-1.207022728775E0, 2.477464010839E-10)); #1490=CARTESIAN_POINT('',(2.023606685945E1,-1.206891352843E0, 2.477537156684E-10)); #1491=CARTESIAN_POINT('',(2.023666649013E1,-1.206761203066E0, 2.477611414123E-10)); #1492=CARTESIAN_POINT('',(2.023726638576E1,-1.206632279990E0, 2.477684217508E-10)); #1493=CARTESIAN_POINT('',(2.023786654382E1,-1.206504584154E0, 2.477758394845E-10)); #1494=CARTESIAN_POINT('',(2.023846696180E1,-1.206378116093E0, 2.477831861098E-10)); #1495=CARTESIAN_POINT('',(2.023906763719E1,-1.206252876334E0, 2.477905132402E-10)); #1496=CARTESIAN_POINT('',(2.023966856748E1,-1.206128865403E0, 2.477978229254E-10)); #1497=CARTESIAN_POINT('',(2.024026975015E1,-1.206006083817E0, 2.478052218860E-10)); #1498=CARTESIAN_POINT('',(2.024087118269E1,-1.205884532091E0, 2.478126142570E-10)); #1499=CARTESIAN_POINT('',(2.024147286259E1,-1.205764210733E0, 2.478199437111E-10)); #1500=CARTESIAN_POINT('',(2.024207478732E1,-1.205645120246E0, 2.478273648888E-10)); #1501=CARTESIAN_POINT('',(2.024267695436E1,-1.205527261129E0, 2.478346486225E-10)); #1502=CARTESIAN_POINT('',(2.024327936121E1,-1.205410633875E0, 2.478420573418E-10)); #1503=CARTESIAN_POINT('',(2.024388200533E1,-1.205295238971E0, 2.478494366297E-10)); #1504=CARTESIAN_POINT('',(2.024448488421E1,-1.205181076900E0, 2.478568086576E-10)); #1505=CARTESIAN_POINT('',(2.024508799533E1,-1.205068148139E0, 2.478642391566E-10)); #1506=CARTESIAN_POINT('',(2.024569133615E1,-1.204956453162E0, 2.478716095646E-10)); #1507=CARTESIAN_POINT('',(2.024629490417E1,-1.204845992436E0, 2.478789953323E-10)); #1508=CARTESIAN_POINT('',(2.024689869685E1,-1.204736766422E0, 2.478863797524E-10)); #1509=CARTESIAN_POINT('',(2.024750271167E1,-1.204628775577E0, 2.478937542030E-10)); #1510=CARTESIAN_POINT('',(2.024810694610E1,-1.204522020354E0, 2.479011698792E-10)); #1511=CARTESIAN_POINT('',(2.024871139761E1,-1.204416501198E0, 2.479085971557E-10)); #1512=CARTESIAN_POINT('',(2.024931606368E1,-1.204312218551E0, 2.479159368057E-10)); #1513=CARTESIAN_POINT('',(2.024992094177E1,-1.204209172850E0, 2.479236153614E-10)); #1514=CARTESIAN_POINT('',(2.025052602936E1,-1.204107364526E0, 2.479298593874E-10)); #1515=CARTESIAN_POINT('',(2.025113132391E1,-1.204006794003E0, 2.479415026263E-10)); #1516=CARTESIAN_POINT('',(2.025173682289E1,-1.203907461704E0, 2.479333166102E-10)); #1517=CARTESIAN_POINT('',(2.025234252377E1,-1.203809368044E0, 2.479449250290E-10)); #1518=CARTESIAN_POINT('',(2.025294842401E1,-1.203712513433E0, 2.479511418022E-10)); #1519=CARTESIAN_POINT('',(2.025355452109E1,-1.203616898275E0, 2.479589641892E-10)); #1520=CARTESIAN_POINT('',(2.025416081245E1,-1.203522522973E0, 2.479662553668E-10)); #1521=CARTESIAN_POINT('',(2.025476729562E1,-1.203429387914E0, 2.479737327017E-10)); #1522=CARTESIAN_POINT('',(2.025537396783E1,-1.203337493516E0, 2.479811631499E-10)); #1523=CARTESIAN_POINT('',(2.025598082737E1,-1.203246840057E0, 2.479885949878E-10)); #1524=CARTESIAN_POINT('',(2.025658786865E1,-1.203157428315E0, 2.479959016201E-10)); #1525=CARTESIAN_POINT('',(2.025719510052E1,-1.203069257175E0, 2.480038742188E-10)); #1526=CARTESIAN_POINT('',(2.025780247790E1,-1.202982332561E0, 2.480093081572E-10)); #1527=CARTESIAN_POINT('',(2.025841015706E1,-1.202896634108E0, 2.480240642369E-10)); #1528=CARTESIAN_POINT('',(2.025901754272E1,-1.202812239530E0, 2.480042369455E-10)); #1529=CARTESIAN_POINT('',(2.025962684450E1,-1.202728860487E0, 2.481135875306E-10)); #1530=CARTESIAN_POINT('',(2.026022980395E1,-1.202647574748E0, 2.477406434471E-10)); #1531=CARTESIAN_POINT('',(2.026085722999E1,-1.202564361664E0, 2.491675336282E-10)); #1532=CARTESIAN_POINT('',(2.026139413008E1,-1.202494228119E0, 2.438777144864E-10)); #1533=CARTESIAN_POINT('',(2.026195545808E1,-1.202421907969E0, 2.403825143514E-10)); #1534=CARTESIAN_POINT('',(2.026251040972E1,-1.202351492086E0, 2.364242152446E-10)); #1535=CARTESIAN_POINT('',(2.026306723652E1,-1.202281884636E0, 2.325764841233E-10)); #1536=CARTESIAN_POINT('',(2.026362372503E1,-1.202213379556E0, 2.286941243915E-10)); #1537=CARTESIAN_POINT('',(2.026418046587E1,-1.202145898392E0, 2.248387119157E-10)); #1538=CARTESIAN_POINT('',(2.026473729832E1,-1.202079462464E0, 2.209625970639E-10)); #1539=CARTESIAN_POINT('',(2.026529426297E1,-1.202014066356E0, 2.170870553538E-10)); #1540=CARTESIAN_POINT('',(2.026585134649E1,-1.201949711809E0, 2.132290907857E-10)); #1541=CARTESIAN_POINT('',(2.026640854998E1,-1.201886398642E0, 2.093528690790E-10)); #1542=CARTESIAN_POINT('',(2.026696587068E1,-1.201824127186E0, 2.054764766114E-10)); #1543=CARTESIAN_POINT('',(2.026752330685E1,-1.201762897630E0, 2.016178585917E-10)); #1544=CARTESIAN_POINT('',(2.026808085649E1,-1.201702710194E0, 1.977411046462E-10)); #1545=CARTESIAN_POINT('',(2.026863851766E1,-1.201643565087E0, 1.938639973828E-10)); #1546=CARTESIAN_POINT('',(2.026919628842E1,-1.201585462517E0, 1.900047739822E-10)); #1547=CARTESIAN_POINT('',(2.026975416682E1,-1.201528402685E0, 1.861273260866E-10)); #1548=CARTESIAN_POINT('',(2.027031215091E1,-1.201472385792E0, 1.822496032014E-10)); #1549=CARTESIAN_POINT('',(2.027087023873E1,-1.201417412034E0, 1.783900390357E-10)); #1550=CARTESIAN_POINT('',(2.027142842834E1,-1.201363481602E0, 1.745109060864E-10)); #1551=CARTESIAN_POINT('',(2.027198671778E1,-1.201310594685E0, 1.706364339119E-10)); #1552=CARTESIAN_POINT('',(2.027254510511E1,-1.201258751467E0, 1.667617216875E-10)); #1553=CARTESIAN_POINT('',(2.027310358838E1,-1.201207952130E0, 1.628819766203E-10)); #1554=CARTESIAN_POINT('',(2.027366216563E1,-1.201158196852E0, 1.590212707066E-10)); #1555=CARTESIAN_POINT('',(2.027422083491E1,-1.201109485805E0, 1.551420655931E-10)); #1556=CARTESIAN_POINT('',(2.027477959427E1,-1.201061819161E0, 1.512626955520E-10)); #1557=CARTESIAN_POINT('',(2.027533844176E1,-1.201015197087E0, 1.474013186870E-10)); #1558=CARTESIAN_POINT('',(2.027589737542E1,-1.200969619743E0, 1.435205920834E-10)); #1559=CARTESIAN_POINT('',(2.027645639330E1,-1.200925087291E0, 1.396443152180E-10)); #1560=CARTESIAN_POINT('',(2.027701549345E1,-1.200881599886E0, 1.357679238040E-10)); #1561=CARTESIAN_POINT('',(2.027757467391E1,-1.200839157679E0, 1.318865416454E-10)); #1562=CARTESIAN_POINT('',(2.027813393273E1,-1.200797760820E0, 1.280240712797E-10)); #1563=CARTESIAN_POINT('',(2.027869326796E1,-1.200757409452E0, 1.241432359916E-10)); #1564=CARTESIAN_POINT('',(2.027925267764E1,-1.200718103716E0, 1.202619925602E-10)); #1565=CARTESIAN_POINT('',(2.027981215982E1,-1.200679843751E0, 1.163990812899E-10)); #1566=CARTESIAN_POINT('',(2.028037171254E1,-1.200642629689E0, 1.125165402239E-10)); #1567=CARTESIAN_POINT('',(2.028093133383E1,-1.200606461661E0, 1.086385640059E-10)); #1568=CARTESIAN_POINT('',(2.028149102181E1,-1.200571339792E0, 1.047604593905E-10)); #1569=CARTESIAN_POINT('',(2.028205077427E1,-1.200537264213E0, 1.008773043162E-10)); #1570=CARTESIAN_POINT('',(2.028261059015E1,-1.200504235007E0, 9.701331374066E-11)); #1571=CARTESIAN_POINT('',(2.028317046419E1,-1.200472252423E0, 9.313050673355E-11)); #1572=CARTESIAN_POINT('',(2.028373040675E1,-1.200441316080E0, 8.924817878053E-11)); #1573=CARTESIAN_POINT('',(2.028429036991E1,-1.200411427922E0, 8.538141877513E-11)); #1574=CARTESIAN_POINT('',(2.028485052325E1,-1.200382581205E0, 8.150503209690E-11)); #1575=CARTESIAN_POINT('',(2.028541022464E1,-1.200354801582E0, 7.759612852226E-11)); #1576=CARTESIAN_POINT('',(2.028597186130E1,-1.200327993792E0, 7.382558693849E-11)); #1577=CARTESIAN_POINT('',(2.028652651468E1,-1.200302513810E0, 6.953244895312E-11)); #1578=CARTESIAN_POINT('',(2.028710746002E1,-1.200277033558E0, 6.719066844946E-11)); #1579=CARTESIAN_POINT('',(2.028759050321E1,-1.200256509907E0, 5.761867899190E-11)); #1580=CARTESIAN_POINT('',(2.028843913367E1,-1.200222443974E0, 7.496054569472E-11)); #1581=CARTESIAN_POINT('',(2.028918990389E1,-1.200194523702E0, 8.507764406964E-11)); #1582=CARTESIAN_POINT('',(2.028996698779E1,-1.200167473968E0, 9.713166489422E-11)); #1583=CARTESIAN_POINT('',(2.029073710657E1,-1.200142708466E0, 1.086677602517E-10)); #1584=CARTESIAN_POINT('',(2.029150917080E1,-1.200119848610E0, 1.203437975651E-10)); #1585=CARTESIAN_POINT('',(2.029228078641E1,-1.200098996036E0, 1.319834881257E-10)); #1586=CARTESIAN_POINT('',(2.029305258841E1,-1.200080123690E0, 1.436339528119E-10)); #1587=CARTESIAN_POINT('',(2.029382440017E1,-1.200063238984E0, 1.552828302856E-10)); #1588=CARTESIAN_POINT('',(2.029459626253E1,-1.200048340076E0, 1.669329446214E-10)); #1589=CARTESIAN_POINT('',(2.029536815808E1,-1.200035427589E0, 1.785848612585E-10)); #1590=CARTESIAN_POINT('',(2.029614008500E1,-1.200024501467E0, 1.902336608987E-10)); #1591=CARTESIAN_POINT('',(2.029691203729E1,-1.200015561821E0, 2.018981222286E-10)); #1592=CARTESIAN_POINT('',(2.029768401009E1,-1.200008608700E0, 2.135630058400E-10)); #1593=CARTESIAN_POINT('',(2.029845599820E1,-1.200003642152E0, 2.252152015725E-10)); #1594=CARTESIAN_POINT('',(2.029922799654E1,-1.200000662211E0, 2.368695983709E-10)); #1595=CARTESIAN_POINT('',(2.029974266551E1,-1.2E0,2.446448939378E-10)); #1596=CARTESIAN_POINT('',(2.03E1,-1.2E0,2.485275041320E-10)); #1598=CARTESIAN_POINT('',(-2.029662063383E1,-1.200019034130E0,0.E0)); #1599=CARTESIAN_POINT('',(-2.029362995105E1,-1.200052724975E0, -2.070615530231E-11)); #1600=CARTESIAN_POINT('',(-2.028764461936E1,-1.200210307393E0, -6.173278490343E-11)); #1601=CARTESIAN_POINT('',(-2.027870751219E1,-1.200710353826E0, -1.254325055461E-10)); #1602=CARTESIAN_POINT('',(-2.026968501410E1,-1.201493779646E0, -1.813267562683E-10)); #1603=CARTESIAN_POINT('',(-2.026107753802E1,-1.202485986640E0, -2.659545399273E-10)); #1604=CARTESIAN_POINT('',(-2.025105768780E1,-1.203961523318E0, -2.431277557905E-10)); #1605=CARTESIAN_POINT('',(-2.024147985864E1,-1.205709922330E0, -2.490940124298E-10)); #1606=CARTESIAN_POINT('',(-2.023186029799E1,-1.207785282631E0, -2.473461709634E-10)); #1607=CARTESIAN_POINT('',(-2.022234167594E1,-1.210170384441E0, -2.476663440804E-10)); #1608=CARTESIAN_POINT('',(-2.021289871705E1,-1.212866692281E0, -2.474337195063E-10)); #1609=CARTESIAN_POINT('',(-2.020355103677E1,-1.215870242112E0, -2.473505993845E-10)); #1610=CARTESIAN_POINT('',(-2.019430609831E1,-1.219178106175E0, -2.472290870161E-10)); #1611=CARTESIAN_POINT('',(-2.018517448372E1,-1.222786665019E0, -2.471194662542E-10)); #1612=CARTESIAN_POINT('',(-2.017616578853E1,-1.226692075223E0, -2.470086649188E-10)); #1613=CARTESIAN_POINT('',(-2.016728970848E1,-1.230890149225E0, -2.469000201442E-10)); #1614=CARTESIAN_POINT('',(-2.015855573515E1,-1.235376393111E0, -2.467930890397E-10)); #1615=CARTESIAN_POINT('',(-2.014997322462E1,-1.240146002498E0, -2.466879713688E-10)); #1616=CARTESIAN_POINT('',(-2.014155136633E1,-1.245193870077E0, -2.465848775012E-10)); #1617=CARTESIAN_POINT('',(-2.013329917886E1,-1.250514590437E0, -2.464838653955E-10)); #1618=CARTESIAN_POINT('',(-2.012522549878E1,-1.256102466023E0, -2.463849924480E-10)); #1619=CARTESIAN_POINT('',(-2.011733897163E1,-1.261951513197E0, -2.462884707150E-10)); #1620=CARTESIAN_POINT('',(-2.010964804247E1,-1.268055468654E0, -2.461942272424E-10)); #1621=CARTESIAN_POINT('',(-2.010216094696E1,-1.274407796124E0, -2.461026748575E-10)); #1622=CARTESIAN_POINT('',(-2.009488570247E1,-1.281001693377E0, -2.460135674726E-10)); #1623=CARTESIAN_POINT('',(-2.008783009950E1,-1.287830099502E0, -2.459271435442E-10)); #1624=CARTESIAN_POINT('',(-2.008100169337E1,-1.294885702470E0, -2.458435280015E-10)); #1625=CARTESIAN_POINT('',(-2.007440779612E1,-1.302160946966E0, -2.457628153383E-10)); #1626=CARTESIAN_POINT('',(-2.006805546865E1,-1.309648042476E0, -2.456850022505E-10)); #1627=CARTESIAN_POINT('',(-2.006195151319E1,-1.317338971629E0, -2.456101739941E-10)); #1628=CARTESIAN_POINT('',(-2.005610246602E1,-1.325225498786E0, -2.455386589830E-10)); #1629=CARTESIAN_POINT('',(-2.005051459043E1,-1.333299178857E0, -2.454702240402E-10)); #1630=CARTESIAN_POINT('',(-2.004519387007E1,-1.341551366343E0, -2.454051403927E-10)); #1631=CARTESIAN_POINT('',(-2.004014600250E1,-1.349973224594E0, -2.453433889767E-10)); #1632=CARTESIAN_POINT('',(-2.003537639309E1,-1.358555735274E0, -2.452849413535E-10)); #1633=CARTESIAN_POINT('',(-2.003089014927E1,-1.367289708016E0, -2.452300968758E-10)); #1634=CARTESIAN_POINT('',(-2.002669207502E1,-1.376165790262E0, -2.451785192384E-10)); #1635=CARTESIAN_POINT('',(-2.002278666574E1,-1.385174477282E0, -2.451312543096E-10)); #1636=CARTESIAN_POINT('',(-2.001917810345E1,-1.394306122347E0, -2.450847879880E-10)); #1637=CARTESIAN_POINT('',(-2.001587025227E1,-1.403550947065E0, -2.450526304115E-10)); #1638=CARTESIAN_POINT('',(-2.001286665434E1,-1.412899051843E0, -2.449849212636E-10)); #1639=CARTESIAN_POINT('',(-2.001017052600E1,-1.422340426499E0, -2.450674251388E-10)); #1640=CARTESIAN_POINT('',(-2.000778475431E1,-1.431864960971E0, -2.446071105095E-10)); #1641=CARTESIAN_POINT('',(-2.000571189403E1,-1.441462456150E0, -2.461905054246E-10)); #1642=CARTESIAN_POINT('',(-2.000395416482E1,-1.451122634797E0, -2.401646957499E-10)); #1643=CARTESIAN_POINT('',(-2.000251344891E1,-1.460835152550E0, -2.625553095738E-10)); #1644=CARTESIAN_POINT('',(-2.000139128904E1,-1.470589609004E0, -1.789129152098E-10)); #1645=CARTESIAN_POINT('',(-2.000058888687E1,-1.480375558838E0, -1.237037589157E-10)); #1646=CARTESIAN_POINT('',(-2.000010710161E1,-1.490182523030E0, -6.084761616822E-11)); #1647=CARTESIAN_POINT('',(-1.999999999999E1,-1.496727507652E0, -2.040330751573E-11)); #1648=CARTESIAN_POINT('',(-1.999999999999E1,-1.5E0,0.E0)); #1650=CARTESIAN_POINT('',(0.E0,-1.199974621160E0,0.E0)); #1651=DIRECTION('',(0.E0,1.E0,0.E0)); #1652=DIRECTION('',(-1.E0,0.E0,0.E0)); #1653=AXIS2_PLACEMENT_3D('',#1650,#1651,#1652); #1655=CARTESIAN_POINT('',(2.029211431195E1,-8.E-1,0.E0)); #1656=DIRECTION('',(0.E0,0.E0,1.E0)); #1657=DIRECTION('',(1.126455390534E-2,-9.999365528999E-1,0.E0)); #1658=AXIS2_PLACEMENT_3D('',#1655,#1656,#1657); #1660=CARTESIAN_POINT('',(0.E0,-1.057115043878E0,0.E0)); #1661=DIRECTION('',(0.E0,-1.E0,0.E0)); #1662=DIRECTION('',(1.E0,0.E0,0.E0)); #1663=AXIS2_PLACEMENT_3D('',#1660,#1661,#1662); #1665=CARTESIAN_POINT('',(-2.029211431195E1,-8.E-1,0.E0)); #1666=DIRECTION('',(0.E0,0.E0,1.E0)); #1667=DIRECTION('',(-7.660444431129E-1,-6.427876096938E-1,0.E0)); #1668=AXIS2_PLACEMENT_3D('',#1665,#1666,#1667); #1670=CARTESIAN_POINT('',(-3.388867798568E1,1.287858708565E-13, -2.039608879827E0)); #1671=CARTESIAN_POINT('',(3.395E1,1.287858708565E-13,0.E0)); #1672=VERTEX_POINT('',#1670); #1673=VERTEX_POINT('',#1671); #1674=CARTESIAN_POINT('',(-3.388867799636E1,1.287858708565E-13, 2.039608702411E0)); #1675=VERTEX_POINT('',#1674); #1676=CARTESIAN_POINT('',(-2.436477990871E1,-3.136380044566E-14, 2.039594863136E0)); #1677=VERTEX_POINT('',#1676); #1678=CARTESIAN_POINT('',(-2.436477990871E1,-6.844663380356E-6, -2.039600422443E0)); #1679=VERTEX_POINT('',#1678); #1680=CARTESIAN_POINT('',(-2.881784560107E1,0.E0,-1.4675E1)); #1681=CARTESIAN_POINT('',(-2.201784560107E1,0.E0,-1.4675E1)); #1682=VERTEX_POINT('',#1680); #1683=VERTEX_POINT('',#1681); #1684=CARTESIAN_POINT('',(-2.711784560107E1,0.E0,1.761948637287E1)); #1685=CARTESIAN_POINT('',(-2.371784560107E1,0.E0,1.173051362713E1)); #1686=VERTEX_POINT('',#1684); #1687=VERTEX_POINT('',#1685); #1688=CARTESIAN_POINT('',(1.700000000001E0,0.E0,3.229448637287E1)); #1689=CARTESIAN_POINT('',(-1.700000000001E0,0.E0,2.640551362713E1)); #1690=VERTEX_POINT('',#1688); #1691=VERTEX_POINT('',#1689); #1692=CARTESIAN_POINT('',(2.881784560107E1,0.E0,1.4675E1)); #1693=CARTESIAN_POINT('',(2.201784560107E1,0.E0,1.4675E1)); #1694=VERTEX_POINT('',#1692); #1695=VERTEX_POINT('',#1693); #1696=CARTESIAN_POINT('',(2.711784560107E1,0.E0,-1.761948637287E1)); #1697=CARTESIAN_POINT('',(2.371784560107E1,0.E0,-1.173051362713E1)); #1698=VERTEX_POINT('',#1696); #1699=VERTEX_POINT('',#1697); #1700=CARTESIAN_POINT('',(-1.700000000001E0,0.E0,-3.229448637287E1)); #1701=CARTESIAN_POINT('',(1.700000000001E0,0.E0,-2.640551362713E1)); #1702=VERTEX_POINT('',#1700); #1703=VERTEX_POINT('',#1701); #1704=CARTESIAN_POINT('',(3.495000000001E1,-1.E0,2.537284618520E-13)); #1705=VERTEX_POINT('',#1704); #1706=VERTEX_POINT('',#120); #1707=VERTEX_POINT('',#155); #1708=VERTEX_POINT('',#211); #1709=CARTESIAN_POINT('',(2.444941402408E1,-3.635980405647E-14, -1.692745668566E-1)); #1710=VERTEX_POINT('',#1709); #1711=CARTESIAN_POINT('',(2.415E1,-3.E-1,0.E0)); #1712=VERTEX_POINT('',#1711); #1713=VERTEX_POINT('',#228); #1714=CARTESIAN_POINT('',(-2.415E1,-1.169088224413E0,0.E0)); #1715=VERTEX_POINT('',#1714); #1716=CARTESIAN_POINT('',(2.415E1,-1.169088224413E0,0.E0)); #1717=VERTEX_POINT('',#1716); #1718=CARTESIAN_POINT('',(2.414942121397E1,-3.E-1,-1.671975783062E-1)); #1719=VERTEX_POINT('',#1718); #1720=CARTESIAN_POINT('',(-2.361319194267E1,-1.544965272729E0,0.E0)); #1721=VERTEX_POINT('',#1720); #1722=CARTESIAN_POINT('',(2.361319194267E1,-1.544965272729E0,0.E0)); #1723=VERTEX_POINT('',#1722); #1724=CARTESIAN_POINT('',(-2.106262221577E1,-6.166338117137E-1,0.E0)); #1725=VERTEX_POINT('',#1724); #1726=CARTESIAN_POINT('',(2.106262221577E1,-6.166338117140E-1,0.E0)); #1727=VERTEX_POINT('',#1726); #1728=CARTESIAN_POINT('',(-2.094073511126E1,-6.492933629349E-1,0.E0)); #1729=VERTEX_POINT('',#1728); #1730=CARTESIAN_POINT('',(2.094073511126E1,-6.492933629334E-1,0.E0)); #1731=VERTEX_POINT('',#1730); #1732=CARTESIAN_POINT('',(-2.059853208919E1,-1.057115043876E0,0.E0)); #1733=VERTEX_POINT('',#1732); #1734=CARTESIAN_POINT('',(2.059853208919E1,-1.057115043876E0,0.E0)); #1735=VERTEX_POINT('',#1734); #1736=CARTESIAN_POINT('',(-2.029662013351E1,-1.199974621160E0, -2.484861253249E-10)); #1737=CARTESIAN_POINT('',(2.029662013351E1,-1.199974621160E0,0.E0)); #1738=VERTEX_POINT('',#1736); #1739=VERTEX_POINT('',#1737); #1740=VERTEX_POINT('',#826); #1741=CARTESIAN_POINT('',(-2.E1,-4.6E0,0.E0)); #1742=CARTESIAN_POINT('',(2.E1,-4.6E0,0.E0)); #1743=VERTEX_POINT('',#1741); #1744=VERTEX_POINT('',#1742); #1745=CARTESIAN_POINT('',(-2.E1,-1.5E0,0.E0)); #1746=VERTEX_POINT('',#1745); #1747=CARTESIAN_POINT('',(2.07E1,-4.8E0,0.E0)); #1748=CARTESIAN_POINT('',(-2.07E1,-4.8E0,0.E0)); #1749=VERTEX_POINT('',#1747); #1750=VERTEX_POINT('',#1748); #1751=CARTESIAN_POINT('',(-2.137843847692E1,-6.023935411385E0,0.E0)); #1752=VERTEX_POINT('',#1751); #1753=CARTESIAN_POINT('',(2.137843847692E1,-6.023935411383E0,0.E0)); #1754=VERTEX_POINT('',#1753); #1755=CARTESIAN_POINT('',(2.07E1,-7.109663931839E0,0.E0)); #1756=VERTEX_POINT('',#1755); #1757=CARTESIAN_POINT('',(-2.07E1,-7.109663931839E0,0.E0)); #1758=VERTEX_POINT('',#1757); #1759=CARTESIAN_POINT('',(2.07E1,-1.27E1,0.E0)); #1760=CARTESIAN_POINT('',(-2.07E1,-1.27E1,-1.159810876872E-10)); #1761=VERTEX_POINT('',#1759); #1762=VERTEX_POINT('',#1760); #1763=CARTESIAN_POINT('',(2.099999985164E1,-1.3E1,0.E0)); #1764=VERTEX_POINT('',#1763); #1765=CARTESIAN_POINT('',(-2.099999985164E1,-1.3E1,1.056536390557E-14)); #1766=VERTEX_POINT('',#1765); #1767=CARTESIAN_POINT('',(-3.394999555752E1,-1.299999871362E1, -2.522426711948E-13)); #1768=CARTESIAN_POINT('',(3.394999555752E1,-1.299999871362E1, 2.589761349738E-13)); #1769=VERTEX_POINT('',#1767); #1770=VERTEX_POINT('',#1768); #1771=CARTESIAN_POINT('',(-1.663419655732E-13,-1.299999871362E1, -3.394999555752E1)); #1772=VERTEX_POINT('',#1771); #1773=CARTESIAN_POINT('',(-2.201784560107E1,-1.3E1,-1.4675E1)); #1774=CARTESIAN_POINT('',(-2.881784560107E1,-1.3E1,-1.4675E1)); #1775=VERTEX_POINT('',#1773); #1776=VERTEX_POINT('',#1774); #1777=CARTESIAN_POINT('',(-2.371784560107E1,-1.3E1,1.173051362713E1)); #1778=CARTESIAN_POINT('',(-2.711784560107E1,-1.3E1,1.761948637287E1)); #1779=VERTEX_POINT('',#1777); #1780=VERTEX_POINT('',#1778); #1781=CARTESIAN_POINT('',(-1.700000000001E0,-1.3E1,2.640551362713E1)); #1782=CARTESIAN_POINT('',(1.700000000001E0,-1.3E1,3.229448637287E1)); #1783=VERTEX_POINT('',#1781); #1784=VERTEX_POINT('',#1782); #1785=CARTESIAN_POINT('',(2.201784560107E1,-1.3E1,1.4675E1)); #1786=CARTESIAN_POINT('',(2.881784560107E1,-1.3E1,1.4675E1)); #1787=VERTEX_POINT('',#1785); #1788=VERTEX_POINT('',#1786); #1789=CARTESIAN_POINT('',(2.371784560107E1,-1.3E1,-1.173051362713E1)); #1790=CARTESIAN_POINT('',(2.711784560107E1,-1.3E1,-1.761948637287E1)); #1791=VERTEX_POINT('',#1789); #1792=VERTEX_POINT('',#1790); #1793=CARTESIAN_POINT('',(1.700000000001E0,-1.3E1,-2.640551362713E1)); #1794=CARTESIAN_POINT('',(-1.700000000001E0,-1.3E1,-3.229448637287E1)); #1795=VERTEX_POINT('',#1793); #1796=VERTEX_POINT('',#1794); #1797=CARTESIAN_POINT('',(3.494999893287E1,-1.199999693516E1, -1.109067498177E-9)); #1798=VERTEX_POINT('',#1797); #1799=CARTESIAN_POINT('',(-3.494999893287E1,-1.199999693516E1, 1.585264113659E-9)); #1800=VERTEX_POINT('',#1799); #1801=CARTESIAN_POINT('',(-3.495E1,-7.376505905230E0,0.E0)); #1802=CARTESIAN_POINT('',(3.495E1,-7.376505905230E0,0.E0)); #1803=VERTEX_POINT('',#1801); #1804=VERTEX_POINT('',#1802); #1805=CARTESIAN_POINT('',(-1.818691276733E-8,-1.199999674163E1,-3.495E1)); #1806=VERTEX_POINT('',#1805); #1807=CARTESIAN_POINT('',(3.494999999999E1,-5.623494094770E0,0.E0)); #1808=CARTESIAN_POINT('',(-3.494999999999E1,-5.623494094770E0,0.E0)); #1809=VERTEX_POINT('',#1807); #1810=VERTEX_POINT('',#1808); #1811=CARTESIAN_POINT('',(-3.495E1,-1.E0,0.E0)); #1812=VERTEX_POINT('',#1811); #1813=CARTESIAN_POINT('',(-3.477139380484E1,-6.993483683669E0,0.E0)); #1814=VERTEX_POINT('',#1813); #1815=CARTESIAN_POINT('',(3.477139380484E1,-6.993483683667E0,0.E0)); #1816=VERTEX_POINT('',#1815); #1817=CARTESIAN_POINT('',(3.477139380484E1,-6.006516316328E0,0.E0)); #1818=VERTEX_POINT('',#1817); #1819=CARTESIAN_POINT('',(-3.477139380484E1,-6.006516316331E0,0.E0)); #1820=VERTEX_POINT('',#1819); #1821=CARTESIAN_POINT('',(3.445716371709E1,-6.270186667067E0,0.E0)); #1822=CARTESIAN_POINT('',(-3.445716371709E1,-6.270186667067E0,0.E0)); #1823=VERTEX_POINT('',#1821); #1824=VERTEX_POINT('',#1822); #1825=CARTESIAN_POINT('',(-3.445716371709E1,-6.729813332935E0,0.E0)); #1826=VERTEX_POINT('',#1825); #1827=CARTESIAN_POINT('',(3.445716371709E1,-6.729813332933E0,0.E0)); #1828=VERTEX_POINT('',#1827); #1829=CARTESIAN_POINT('',(0.E0,-6.3E1,-2.06375E1)); #1830=CARTESIAN_POINT('',(0.E0,-6.3E1,2.06375E1)); #1831=VERTEX_POINT('',#1829); #1832=VERTEX_POINT('',#1830); #1833=CARTESIAN_POINT('',(0.E0,-6.3E1,-1.91375E1)); #1834=CARTESIAN_POINT('',(0.E0,-6.3E1,1.91375E1)); #1835=VERTEX_POINT('',#1833); #1836=VERTEX_POINT('',#1834); #1837=CARTESIAN_POINT('',(0.E0,-4.8E0,1.91375E1)); #1838=CARTESIAN_POINT('',(0.E0,-4.8E0,-1.91375E1)); #1839=VERTEX_POINT('',#1837); #1840=VERTEX_POINT('',#1838); #1841=CARTESIAN_POINT('',(2.02E1,-4.8E0,-1.068188141016E-10)); #1842=CARTESIAN_POINT('',(-2.02E1,-4.8E0,1.068253109172E-10)); #1843=VERTEX_POINT('',#1841); #1844=VERTEX_POINT('',#1842); #1845=CARTESIAN_POINT('',(0.E0,-4.8E0,-2.06375E1)); #1846=CARTESIAN_POINT('',(0.E0,-4.8E0,2.06375E1)); #1847=VERTEX_POINT('',#1845); #1848=VERTEX_POINT('',#1846); #1849=CARTESIAN_POINT('',(3.066100182019E-2,0.E0,6.130626672984E-8)); #1850=DIRECTION('',(0.E0,1.E0,0.E0)); #1851=DIRECTION('',(1.E0,0.E0,0.E0)); #1852=AXIS2_PLACEMENT_3D('',#1849,#1850,#1851); #1853=PLANE('',#1852); #1855=ORIENTED_EDGE('',*,*,#1854,.T.); #1857=ORIENTED_EDGE('',*,*,#1856,.T.); #1859=ORIENTED_EDGE('',*,*,#1858,.F.); #1861=ORIENTED_EDGE('',*,*,#1860,.T.); #1863=ORIENTED_EDGE('',*,*,#1862,.T.); #1865=ORIENTED_EDGE('',*,*,#1864,.F.); #1866=EDGE_LOOP('',(#1855,#1857,#1859,#1861,#1863,#1865)); #1867=FACE_OUTER_BOUND('',#1866,.F.); #1869=ORIENTED_EDGE('',*,*,#1868,.T.); #1871=ORIENTED_EDGE('',*,*,#1870,.T.); #1872=EDGE_LOOP('',(#1869,#1871)); #1873=FACE_BOUND('',#1872,.F.); #1875=ORIENTED_EDGE('',*,*,#1874,.T.); #1877=ORIENTED_EDGE('',*,*,#1876,.T.); #1878=EDGE_LOOP('',(#1875,#1877)); #1879=FACE_BOUND('',#1878,.F.); #1881=ORIENTED_EDGE('',*,*,#1880,.T.); #1883=ORIENTED_EDGE('',*,*,#1882,.T.); #1884=EDGE_LOOP('',(#1881,#1883)); #1885=FACE_BOUND('',#1884,.F.); #1887=ORIENTED_EDGE('',*,*,#1886,.T.); #1889=ORIENTED_EDGE('',*,*,#1888,.T.); #1890=EDGE_LOOP('',(#1887,#1889)); #1891=FACE_BOUND('',#1890,.F.); #1893=ORIENTED_EDGE('',*,*,#1892,.T.); #1895=ORIENTED_EDGE('',*,*,#1894,.T.); #1896=EDGE_LOOP('',(#1893,#1895)); #1897=FACE_BOUND('',#1896,.F.); #1899=ORIENTED_EDGE('',*,*,#1898,.T.); #1901=ORIENTED_EDGE('',*,*,#1900,.T.); #1902=EDGE_LOOP('',(#1899,#1901)); #1903=FACE_BOUND('',#1902,.F.); #1905=CARTESIAN_POINT('',(0.E0,-1.E0,0.E0)); #1906=DIRECTION('',(0.E0,1.E0,0.E0)); #1907=DIRECTION('',(9.999885569520E-1,0.E0,4.783927790147E-3)); #1908=AXIS2_PLACEMENT_3D('',#1905,#1906,#1907); #1909=TOROIDAL_SURFACE('',#1908,3.395000000001E1,1.E0); #1910=ORIENTED_EDGE('',*,*,#1854,.F.); #1912=ORIENTED_EDGE('',*,*,#1911,.T.); #1914=ORIENTED_EDGE('',*,*,#1913,.F.); #1916=ORIENTED_EDGE('',*,*,#1915,.F.); #1918=ORIENTED_EDGE('',*,*,#1917,.F.); #1919=EDGE_LOOP('',(#1910,#1912,#1914,#1916,#1918)); #1920=FACE_OUTER_BOUND('',#1919,.F.); #1922=CARTESIAN_POINT('',(-3.514657463333E1,2.2E0,0.E0)); #1923=DIRECTION('',(1.E0,0.E0,0.E0)); #1924=DIRECTION('',(0.E0,-1.E0,0.E0)); #1925=AXIS2_PLACEMENT_3D('',#1922,#1923,#1924); #1926=CYLINDRICAL_SURFACE('',#1925,3.E0); #1927=ORIENTED_EDGE('',*,*,#1911,.F.); #1928=ORIENTED_EDGE('',*,*,#1864,.T.); #1930=ORIENTED_EDGE('',*,*,#1929,.F.); #1932=ORIENTED_EDGE('',*,*,#1931,.F.); #1934=ORIENTED_EDGE('',*,*,#1933,.F.); #1936=ORIENTED_EDGE('',*,*,#1935,.F.); #1937=ORIENTED_EDGE('',*,*,#1858,.T.); #1939=ORIENTED_EDGE('',*,*,#1938,.T.); #1940=EDGE_LOOP('',(#1927,#1928,#1930,#1932,#1934,#1936,#1937,#1939)); #1941=FACE_OUTER_BOUND('',#1940,.F.); #1943=CARTESIAN_POINT('',(0.E0,-3.E-1,0.E0)); #1944=DIRECTION('',(0.E0,-1.E0,0.E0)); #1945=DIRECTION('',(9.999760337048E-1,0.E0,-6.923295167960E-3)); #1946=AXIS2_PLACEMENT_3D('',#1943,#1944,#1945); #1947=TOROIDAL_SURFACE('',#1946,2.444999999999E1,3.E-1); #1949=ORIENTED_EDGE('',*,*,#1948,.F.); #1951=ORIENTED_EDGE('',*,*,#1950,.F.); #1953=ORIENTED_EDGE('',*,*,#1952,.F.); #1954=ORIENTED_EDGE('',*,*,#1929,.T.); #1955=ORIENTED_EDGE('',*,*,#1862,.F.); #1956=ORIENTED_EDGE('',*,*,#1860,.F.); #1957=ORIENTED_EDGE('',*,*,#1935,.T.); #1958=EDGE_LOOP('',(#1949,#1951,#1953,#1954,#1955,#1956,#1957)); #1959=FACE_OUTER_BOUND('',#1958,.F.); #1961=CARTESIAN_POINT('',(0.E0,-1.695133430270E-1,0.E0)); #1962=DIRECTION('',(0.E0,-1.E0,0.E0)); #1963=DIRECTION('',(-1.E0,0.E0,0.E0)); #1964=AXIS2_PLACEMENT_3D('',#1961,#1962,#1963); #1965=CYLINDRICAL_SURFACE('',#1964,2.415E1); #1966=ORIENTED_EDGE('',*,*,#1948,.T.); #1967=ORIENTED_EDGE('',*,*,#1933,.T.); #1969=ORIENTED_EDGE('',*,*,#1968,.F.); #1971=ORIENTED_EDGE('',*,*,#1970,.F.); #1973=ORIENTED_EDGE('',*,*,#1972,.F.); #1974=EDGE_LOOP('',(#1966,#1967,#1969,#1971,#1973)); #1975=FACE_OUTER_BOUND('',#1974,.F.); #1977=CARTESIAN_POINT('',(0.E0,-1.695133430270E-1,0.E0)); #1978=DIRECTION('',(0.E0,-1.E0,0.E0)); #1979=DIRECTION('',(-1.E0,0.E0,0.E0)); #1980=AXIS2_PLACEMENT_3D('',#1977,#1978,#1979); #1981=CYLINDRICAL_SURFACE('',#1980,2.415E1); #1982=ORIENTED_EDGE('',*,*,#1952,.T.); #1983=ORIENTED_EDGE('',*,*,#1950,.T.); #1984=ORIENTED_EDGE('',*,*,#1972,.T.); #1986=ORIENTED_EDGE('',*,*,#1985,.F.); #1987=ORIENTED_EDGE('',*,*,#1968,.T.); #1988=ORIENTED_EDGE('',*,*,#1931,.T.); #1989=EDGE_LOOP('',(#1982,#1983,#1984,#1986,#1987,#1988)); #1990=FACE_OUTER_BOUND('',#1989,.F.); #1992=CARTESIAN_POINT('',(0.E0,-1.169088224413E0,0.E0)); #1993=DIRECTION('',(0.E0,-1.E0,0.E0)); #1994=DIRECTION('',(-1.E0,0.E0,0.E0)); #1995=AXIS2_PLACEMENT_3D('',#1992,#1993,#1994); #1996=TOROIDAL_SURFACE('',#1995,2.374999999999E1,4.E-1); #1998=ORIENTED_EDGE('',*,*,#1997,.F.); #1999=ORIENTED_EDGE('',*,*,#1985,.T.); #2001=ORIENTED_EDGE('',*,*,#2000,.F.); #2003=ORIENTED_EDGE('',*,*,#2002,.F.); #2004=EDGE_LOOP('',(#1998,#1999,#2001,#2003)); #2005=FACE_OUTER_BOUND('',#2004,.F.); #2007=CARTESIAN_POINT('',(0.E0,-1.169088224413E0,0.E0)); #2008=DIRECTION('',(0.E0,-1.E0,0.E0)); #2009=DIRECTION('',(-1.E0,0.E0,0.E0)); #2010=AXIS2_PLACEMENT_3D('',#2007,#2008,#2009); #2011=TOROIDAL_SURFACE('',#2010,2.374999999999E1,4.E-1); #2012=ORIENTED_EDGE('',*,*,#1997,.T.); #2014=ORIENTED_EDGE('',*,*,#2013,.F.); #2015=ORIENTED_EDGE('',*,*,#2000,.T.); #2016=ORIENTED_EDGE('',*,*,#1970,.T.); #2017=EDGE_LOOP('',(#2012,#2014,#2015,#2016)); #2018=FACE_OUTER_BOUND('',#2017,.F.); #2020=CARTESIAN_POINT('',(0.E0,-1.080799542220E0,0.E0)); #2021=DIRECTION('',(0.E0,-1.E0,0.E0)); #2022=DIRECTION('',(-1.E0,0.E0,0.E0)); #2023=AXIS2_PLACEMENT_3D('',#2020,#2021,#2022); #2024=CONICAL_SURFACE('',#2023,2.233790707922E1,6.999999999999E1); #2026=ORIENTED_EDGE('',*,*,#2025,.F.); #2028=ORIENTED_EDGE('',*,*,#2027,.F.); #2030=ORIENTED_EDGE('',*,*,#2029,.F.); #2031=ORIENTED_EDGE('',*,*,#2013,.T.); #2032=EDGE_LOOP('',(#2026,#2028,#2030,#2031)); #2033=FACE_OUTER_BOUND('',#2032,.F.); #2035=CARTESIAN_POINT('',(0.E0,-1.080799542220E0,0.E0)); #2036=DIRECTION('',(0.E0,-1.E0,0.E0)); #2037=DIRECTION('',(-1.E0,0.E0,0.E0)); #2038=AXIS2_PLACEMENT_3D('',#2035,#2036,#2037); #2039=CONICAL_SURFACE('',#2038,2.233790707922E1,6.999999999999E1); #2040=ORIENTED_EDGE('',*,*,#2025,.T.); #2041=ORIENTED_EDGE('',*,*,#2002,.T.); #2042=ORIENTED_EDGE('',*,*,#2029,.T.); #2044=ORIENTED_EDGE('',*,*,#2043,.F.); #2045=EDGE_LOOP('',(#2040,#2041,#2042,#2044)); #2046=FACE_OUTER_BOUND('',#2045,.F.); #2048=CARTESIAN_POINT('',(0.E0,-7.2E-1,0.E0)); #2049=DIRECTION('',(0.E0,-1.E0,0.E0)); #2050=DIRECTION('',(-1.E0,0.E0,0.E0)); #2051=AXIS2_PLACEMENT_3D('',#2048,#2049,#2050); #2052=TOROIDAL_SURFACE('',#2051,2.1025E1,1.1E-1); #2054=ORIENTED_EDGE('',*,*,#2053,.F.); #2055=ORIENTED_EDGE('',*,*,#2043,.T.); #2057=ORIENTED_EDGE('',*,*,#2056,.F.); #2059=ORIENTED_EDGE('',*,*,#2058,.F.); #2060=EDGE_LOOP('',(#2054,#2055,#2057,#2059)); #2061=FACE_OUTER_BOUND('',#2060,.F.); #2063=CARTESIAN_POINT('',(0.E0,-7.2E-1,0.E0)); #2064=DIRECTION('',(0.E0,-1.E0,0.E0)); #2065=DIRECTION('',(-1.E0,0.E0,0.E0)); #2066=AXIS2_PLACEMENT_3D('',#2063,#2064,#2065); #2067=TOROIDAL_SURFACE('',#2066,2.1025E1,1.1E-1); #2068=ORIENTED_EDGE('',*,*,#2053,.T.); #2070=ORIENTED_EDGE('',*,*,#2069,.F.); #2071=ORIENTED_EDGE('',*,*,#2056,.T.); #2072=ORIENTED_EDGE('',*,*,#2027,.T.); #2073=EDGE_LOOP('',(#2068,#2070,#2071,#2072)); #2074=FACE_OUTER_BOUND('',#2073,.F.); #2076=CARTESIAN_POINT('',(0.E0,-8.532042034047E-1,0.E0)); #2077=DIRECTION('',(0.E0,1.E0,0.E0)); #2078=DIRECTION('',(1.E0,0.E0,0.E0)); #2079=AXIS2_PLACEMENT_3D('',#2076,#2077,#2078); #2080=CONICAL_SURFACE('',#2079,2.076963360022E1,4.000000000020E1); #2082=ORIENTED_EDGE('',*,*,#2081,.F.); #2084=ORIENTED_EDGE('',*,*,#2083,.F.); #2086=ORIENTED_EDGE('',*,*,#2085,.F.); #2087=ORIENTED_EDGE('',*,*,#2069,.T.); #2088=EDGE_LOOP('',(#2082,#2084,#2086,#2087)); #2089=FACE_OUTER_BOUND('',#2088,.F.); #2091=CARTESIAN_POINT('',(0.E0,-8.532042034047E-1,0.E0)); #2092=DIRECTION('',(0.E0,1.E0,0.E0)); #2093=DIRECTION('',(1.E0,0.E0,0.E0)); #2094=AXIS2_PLACEMENT_3D('',#2091,#2092,#2093); #2095=CONICAL_SURFACE('',#2094,2.076963360022E1,4.000000000020E1); #2096=ORIENTED_EDGE('',*,*,#2081,.T.); #2097=ORIENTED_EDGE('',*,*,#2058,.T.); #2098=ORIENTED_EDGE('',*,*,#2085,.T.); #2100=ORIENTED_EDGE('',*,*,#2099,.F.); #2101=EDGE_LOOP('',(#2096,#2097,#2098,#2100)); #2102=FACE_OUTER_BOUND('',#2101,.F.); #2104=CARTESIAN_POINT('',(0.E0,-8.E-1,0.E0)); #2105=DIRECTION('',(0.E0,-1.E0,0.E0)); #2106=DIRECTION('',(-1.E0,0.E0,0.E0)); #2107=AXIS2_PLACEMENT_3D('',#2104,#2105,#2106); #2108=TOROIDAL_SURFACE('',#2107,2.029211431195E1,4.E-1); #2110=ORIENTED_EDGE('',*,*,#2109,.F.); #2112=ORIENTED_EDGE('',*,*,#2111,.F.); #2113=ORIENTED_EDGE('',*,*,#2099,.T.); #2115=ORIENTED_EDGE('',*,*,#2114,.F.); #2116=EDGE_LOOP('',(#2110,#2112,#2113,#2115)); #2117=FACE_OUTER_BOUND('',#2116,.F.); #2119=CARTESIAN_POINT('',(0.E0,-1.5E0,0.E0)); #2120=DIRECTION('',(0.E0,1.E0,0.E0)); #2121=DIRECTION('',(9.999616039927E-1,0.E0,8.763021186223E-3)); #2122=AXIS2_PLACEMENT_3D('',#2119,#2120,#2121); #2123=TOROIDAL_SURFACE('',#2122,2.029999999999E1,3.E-1); #2125=ORIENTED_EDGE('',*,*,#2124,.F.); #2127=ORIENTED_EDGE('',*,*,#2126,.F.); #2128=ORIENTED_EDGE('',*,*,#2109,.T.); #2130=ORIENTED_EDGE('',*,*,#2129,.F.); #2131=EDGE_LOOP('',(#2125,#2127,#2128,#2130)); #2132=FACE_OUTER_BOUND('',#2131,.F.); #2134=CARTESIAN_POINT('',(0.E0,-4.730486656973E0,0.E0)); #2135=DIRECTION('',(0.E0,1.E0,0.E0)); #2136=DIRECTION('',(-1.E0,0.E0,0.E0)); #2137=AXIS2_PLACEMENT_3D('',#2134,#2135,#2136); #2138=CYLINDRICAL_SURFACE('',#2137,2.E1); #2140=ORIENTED_EDGE('',*,*,#2139,.F.); #2142=ORIENTED_EDGE('',*,*,#2141,.F.); #2143=ORIENTED_EDGE('',*,*,#2124,.T.); #2145=ORIENTED_EDGE('',*,*,#2144,.F.); #2146=EDGE_LOOP('',(#2140,#2142,#2143,#2145)); #2147=FACE_OUTER_BOUND('',#2146,.F.); #2149=CARTESIAN_POINT('',(0.E0,-4.6E0,0.E0)); #2150=DIRECTION('',(0.E0,1.E0,0.E0)); #2151=DIRECTION('',(-9.999676929685E-1,0.E0,8.038222392784E-3)); #2152=AXIS2_PLACEMENT_3D('',#2149,#2150,#2151); #2153=TOROIDAL_SURFACE('',#2152,2.02E1,2.E-1); #2155=ORIENTED_EDGE('',*,*,#2154,.T.); #2157=ORIENTED_EDGE('',*,*,#2156,.F.); #2158=ORIENTED_EDGE('',*,*,#2139,.T.); #2160=ORIENTED_EDGE('',*,*,#2159,.F.); #2161=EDGE_LOOP('',(#2155,#2157,#2158,#2160)); #2162=FACE_OUTER_BOUND('',#2161,.F.); #2164=CARTESIAN_POINT('',(0.E0,-4.8E0,0.E0)); #2165=DIRECTION('',(0.E0,1.E0,0.E0)); #2166=DIRECTION('',(0.E0,0.E0,-1.E0)); #2167=AXIS2_PLACEMENT_3D('',#2164,#2165,#2166); #2168=PLANE('',#2167); #2169=ORIENTED_EDGE('',*,*,#2154,.F.); #2171=ORIENTED_EDGE('',*,*,#2170,.F.); #2172=EDGE_LOOP('',(#2169,#2171)); #2173=FACE_OUTER_BOUND('',#2172,.F.); #2175=ORIENTED_EDGE('',*,*,#2174,.T.); #2177=ORIENTED_EDGE('',*,*,#2176,.T.); #2178=EDGE_LOOP('',(#2175,#2177)); #2179=FACE_BOUND('',#2178,.F.); #2181=CARTESIAN_POINT('',(0.E0,-6.4164E1,0.E0)); #2182=DIRECTION('',(0.E0,1.E0,0.E0)); #2183=DIRECTION('',(0.E0,0.E0,-1.E0)); #2184=AXIS2_PLACEMENT_3D('',#2181,#2182,#2183); #2185=CYLINDRICAL_SURFACE('',#2184,1.91375E1); #2187=ORIENTED_EDGE('',*,*,#2186,.F.); #2189=ORIENTED_EDGE('',*,*,#2188,.F.); #2190=ORIENTED_EDGE('',*,*,#2174,.F.); #2192=ORIENTED_EDGE('',*,*,#2191,.F.); #2193=EDGE_LOOP('',(#2187,#2189,#2190,#2192)); #2194=FACE_OUTER_BOUND('',#2193,.F.); #2196=CARTESIAN_POINT('',(-1.243449787580E-14,-6.3E1,0.E0)); #2197=DIRECTION('',(0.E0,1.E0,0.E0)); #2198=DIRECTION('',(0.E0,0.E0,-1.E0)); #2199=AXIS2_PLACEMENT_3D('',#2196,#2197,#2198); #2200=PLANE('',#2199); #2202=ORIENTED_EDGE('',*,*,#2201,.T.); #2204=ORIENTED_EDGE('',*,*,#2203,.T.); #2205=EDGE_LOOP('',(#2202,#2204)); #2206=FACE_OUTER_BOUND('',#2205,.F.); #2207=ORIENTED_EDGE('',*,*,#2186,.T.); #2209=ORIENTED_EDGE('',*,*,#2208,.T.); #2210=EDGE_LOOP('',(#2207,#2209)); #2211=FACE_BOUND('',#2210,.F.); #2213=CARTESIAN_POINT('',(0.E0,-6.4164E1,0.E0)); #2214=DIRECTION('',(0.E0,1.E0,0.E0)); #2215=DIRECTION('',(0.E0,0.E0,-1.E0)); #2216=AXIS2_PLACEMENT_3D('',#2213,#2214,#2215); #2217=CYLINDRICAL_SURFACE('',#2216,2.06375E1); #2219=ORIENTED_EDGE('',*,*,#2218,.T.); #2221=ORIENTED_EDGE('',*,*,#2220,.F.); #2222=ORIENTED_EDGE('',*,*,#2201,.F.); #2224=ORIENTED_EDGE('',*,*,#2223,.F.); #2225=EDGE_LOOP('',(#2219,#2221,#2222,#2224)); #2226=FACE_OUTER_BOUND('',#2225,.F.); #2228=CARTESIAN_POINT('',(0.E0,-4.8E0,0.E0)); #2229=DIRECTION('',(0.E0,1.E0,0.E0)); #2230=DIRECTION('',(1.E0,0.E0,0.E0)); #2231=AXIS2_PLACEMENT_3D('',#2228,#2229,#2230); #2232=PLANE('',#2231); #2234=ORIENTED_EDGE('',*,*,#2233,.T.); #2236=ORIENTED_EDGE('',*,*,#2235,.T.); #2237=EDGE_LOOP('',(#2234,#2236)); #2238=FACE_OUTER_BOUND('',#2237,.F.); #2239=ORIENTED_EDGE('',*,*,#2218,.F.); #2241=ORIENTED_EDGE('',*,*,#2240,.F.); #2242=EDGE_LOOP('',(#2239,#2241)); #2243=FACE_BOUND('',#2242,.F.); #2245=CARTESIAN_POINT('',(0.E0,-5.6E0,0.E0)); #2246=DIRECTION('',(0.E0,-1.E0,0.E0)); #2247=DIRECTION('',(-1.E0,0.E0,0.E0)); #2248=AXIS2_PLACEMENT_3D('',#2245,#2246,#2247); #2249=TOROIDAL_SURFACE('',#2248,2.07E1,8.E-1); #2250=ORIENTED_EDGE('',*,*,#2233,.F.); #2252=ORIENTED_EDGE('',*,*,#2251,.F.); #2254=ORIENTED_EDGE('',*,*,#2253,.F.); #2256=ORIENTED_EDGE('',*,*,#2255,.F.); #2257=EDGE_LOOP('',(#2250,#2252,#2254,#2256)); #2258=FACE_OUTER_BOUND('',#2257,.F.); #2260=CARTESIAN_POINT('',(0.E0,-5.6E0,0.E0)); #2261=DIRECTION('',(0.E0,-1.E0,0.E0)); #2262=DIRECTION('',(-1.E0,0.E0,0.E0)); #2263=AXIS2_PLACEMENT_3D('',#2260,#2261,#2262); #2264=TOROIDAL_SURFACE('',#2263,2.07E1,8.E-1); #2265=ORIENTED_EDGE('',*,*,#2235,.F.); #2266=ORIENTED_EDGE('',*,*,#2255,.T.); #2268=ORIENTED_EDGE('',*,*,#2267,.F.); #2269=ORIENTED_EDGE('',*,*,#2251,.T.); #2270=EDGE_LOOP('',(#2265,#2266,#2268,#2269)); #2271=FACE_OUTER_BOUND('',#2270,.F.); #2273=CARTESIAN_POINT('',(0.E0,-6.566799671611E0,0.E0)); #2274=DIRECTION('',(0.E0,1.E0,0.E0)); #2275=DIRECTION('',(1.E0,0.E0,0.E0)); #2276=AXIS2_PLACEMENT_3D('',#2273,#2274,#2275); #2277=CONICAL_SURFACE('',#2276,2.103921923846E1,3.200000000013E1); #2279=ORIENTED_EDGE('',*,*,#2278,.F.); #2281=ORIENTED_EDGE('',*,*,#2280,.F.); #2282=ORIENTED_EDGE('',*,*,#2267,.T.); #2284=ORIENTED_EDGE('',*,*,#2283,.F.); #2285=EDGE_LOOP('',(#2279,#2281,#2282,#2284)); #2286=FACE_OUTER_BOUND('',#2285,.F.); #2288=CARTESIAN_POINT('',(0.E0,-6.979177274867E0,0.E0)); #2289=DIRECTION('',(0.E0,-1.E0,0.E0)); #2290=DIRECTION('',(1.E0,0.E0,0.E0)); #2291=AXIS2_PLACEMENT_3D('',#2288,#2289,#2290); #2292=CYLINDRICAL_SURFACE('',#2291,2.07E1); #2293=ORIENTED_EDGE('',*,*,#2278,.T.); #2295=ORIENTED_EDGE('',*,*,#2294,.F.); #2297=ORIENTED_EDGE('',*,*,#2296,.F.); #2299=ORIENTED_EDGE('',*,*,#2298,.F.); #2300=EDGE_LOOP('',(#2293,#2295,#2297,#2299)); #2301=FACE_OUTER_BOUND('',#2300,.F.); #2303=CARTESIAN_POINT('',(0.E0,-6.979177274867E0,0.E0)); #2304=DIRECTION('',(0.E0,-1.E0,0.E0)); #2305=DIRECTION('',(1.E0,0.E0,0.E0)); #2306=AXIS2_PLACEMENT_3D('',#2303,#2304,#2305); #2307=CYLINDRICAL_SURFACE('',#2306,2.07E1); #2309=ORIENTED_EDGE('',*,*,#2308,.F.); #2310=ORIENTED_EDGE('',*,*,#2298,.T.); #2312=ORIENTED_EDGE('',*,*,#2311,.F.); #2313=ORIENTED_EDGE('',*,*,#2294,.T.); #2314=EDGE_LOOP('',(#2309,#2310,#2312,#2313)); #2315=FACE_OUTER_BOUND('',#2314,.F.); #2317=CARTESIAN_POINT('',(0.E0,-6.566799671611E0,0.E0)); #2318=DIRECTION('',(0.E0,1.E0,0.E0)); #2319=DIRECTION('',(1.E0,0.E0,0.E0)); #2320=AXIS2_PLACEMENT_3D('',#2317,#2318,#2319); #2321=CONICAL_SURFACE('',#2320,2.103921923846E1,3.200000000013E1); #2322=ORIENTED_EDGE('',*,*,#2308,.T.); #2323=ORIENTED_EDGE('',*,*,#2283,.T.); #2324=ORIENTED_EDGE('',*,*,#2253,.T.); #2325=ORIENTED_EDGE('',*,*,#2280,.T.); #2326=EDGE_LOOP('',(#2322,#2323,#2324,#2325)); #2327=FACE_OUTER_BOUND('',#2326,.F.); #2329=CARTESIAN_POINT('',(0.E0,-1.27E1,0.E0)); #2330=DIRECTION('',(0.E0,-1.E0,0.E0)); #2331=DIRECTION('',(9.999341724105E-1,0.E0,1.147392024071E-2)); #2332=AXIS2_PLACEMENT_3D('',#2329,#2330,#2331); #2333=TOROIDAL_SURFACE('',#2332,2.1E1,3.E-1); #2335=ORIENTED_EDGE('',*,*,#2334,.F.); #2337=ORIENTED_EDGE('',*,*,#2336,.F.); #2338=ORIENTED_EDGE('',*,*,#2311,.T.); #2340=ORIENTED_EDGE('',*,*,#2339,.F.); #2341=EDGE_LOOP('',(#2335,#2337,#2338,#2340)); #2342=FACE_OUTER_BOUND('',#2341,.F.); #2344=CARTESIAN_POINT('',(0.E0,-1.3E1,-7.071736618514E-8)); #2345=DIRECTION('',(0.E0,1.E0,0.E0)); #2346=DIRECTION('',(1.E0,0.E0,0.E0)); #2347=AXIS2_PLACEMENT_3D('',#2344,#2345,#2346); #2348=PLANE('',#2347); #2350=ORIENTED_EDGE('',*,*,#2349,.T.); #2352=ORIENTED_EDGE('',*,*,#2351,.T.); #2354=ORIENTED_EDGE('',*,*,#2353,.T.); #2355=EDGE_LOOP('',(#2350,#2352,#2354)); #2356=FACE_OUTER_BOUND('',#2355,.F.); #2358=ORIENTED_EDGE('',*,*,#2357,.T.); #2359=ORIENTED_EDGE('',*,*,#2334,.T.); #2360=EDGE_LOOP('',(#2358,#2359)); #2361=FACE_BOUND('',#2360,.F.); #2363=ORIENTED_EDGE('',*,*,#2362,.T.); #2365=ORIENTED_EDGE('',*,*,#2364,.T.); #2366=EDGE_LOOP('',(#2363,#2365)); #2367=FACE_BOUND('',#2366,.F.); #2369=ORIENTED_EDGE('',*,*,#2368,.T.); #2371=ORIENTED_EDGE('',*,*,#2370,.T.); #2372=EDGE_LOOP('',(#2369,#2371)); #2373=FACE_BOUND('',#2372,.F.); #2375=ORIENTED_EDGE('',*,*,#2374,.T.); #2377=ORIENTED_EDGE('',*,*,#2376,.T.); #2378=EDGE_LOOP('',(#2375,#2377)); #2379=FACE_BOUND('',#2378,.F.); #2381=ORIENTED_EDGE('',*,*,#2380,.T.); #2383=ORIENTED_EDGE('',*,*,#2382,.T.); #2384=EDGE_LOOP('',(#2381,#2383)); #2385=FACE_BOUND('',#2384,.F.); #2387=ORIENTED_EDGE('',*,*,#2386,.T.); #2389=ORIENTED_EDGE('',*,*,#2388,.T.); #2390=EDGE_LOOP('',(#2387,#2389)); #2391=FACE_BOUND('',#2390,.F.); #2393=ORIENTED_EDGE('',*,*,#2392,.T.); #2395=ORIENTED_EDGE('',*,*,#2394,.T.); #2396=EDGE_LOOP('',(#2393,#2395)); #2397=FACE_BOUND('',#2396,.F.); #2399=CARTESIAN_POINT('',(1.065814103640E-13,-1.249999698937E1, 2.726707748479E-13)); #2400=DIRECTION('',(0.E0,1.E0,0.E0)); #2401=DIRECTION('',(0.E0,0.E0,-1.E0)); #2402=AXIS2_PLACEMENT_3D('',#2399,#2400,#2401); #2403=CONICAL_SURFACE('',#2402,3.444999719740E1,4.499999516588E1); #2404=ORIENTED_EDGE('',*,*,#2349,.F.); #2406=ORIENTED_EDGE('',*,*,#2405,.F.); #2408=ORIENTED_EDGE('',*,*,#2407,.F.); #2410=ORIENTED_EDGE('',*,*,#2409,.F.); #2411=EDGE_LOOP('',(#2404,#2406,#2408,#2410)); #2412=FACE_OUTER_BOUND('',#2411,.F.); #2414=CARTESIAN_POINT('',(-1.634248292248E-13,-1.249999698937E1, 3.641531520771E-14)); #2415=DIRECTION('',(0.E0,1.E0,0.E0)); #2416=DIRECTION('',(0.E0,0.E0,-1.E0)); #2417=AXIS2_PLACEMENT_3D('',#2414,#2415,#2416); #2418=CONICAL_SURFACE('',#2417,3.444999719740E1,4.499999516588E1); #2419=ORIENTED_EDGE('',*,*,#2353,.F.); #2420=ORIENTED_EDGE('',*,*,#2351,.F.); #2421=ORIENTED_EDGE('',*,*,#2409,.T.); #2423=ORIENTED_EDGE('',*,*,#2422,.F.); #2425=ORIENTED_EDGE('',*,*,#2424,.F.); #2426=ORIENTED_EDGE('',*,*,#2405,.T.); #2427=EDGE_LOOP('',(#2419,#2420,#2421,#2423,#2425,#2426)); #2428=FACE_OUTER_BOUND('',#2427,.F.); #2430=CARTESIAN_POINT('',(0.E0,-1.222001530468E1,0.E0)); #2431=DIRECTION('',(0.E0,1.E0,0.E0)); #2432=DIRECTION('',(1.E0,0.E0,0.E0)); #2433=AXIS2_PLACEMENT_3D('',#2430,#2431,#2432); #2434=CYLINDRICAL_SURFACE('',#2433,3.495E1); #2436=ORIENTED_EDGE('',*,*,#2435,.F.); #2438=ORIENTED_EDGE('',*,*,#2437,.F.); #2439=ORIENTED_EDGE('',*,*,#2424,.T.); #2440=ORIENTED_EDGE('',*,*,#2422,.T.); #2442=ORIENTED_EDGE('',*,*,#2441,.F.); #2443=EDGE_LOOP('',(#2436,#2438,#2439,#2440,#2442)); #2444=FACE_OUTER_BOUND('',#2443,.F.); #2446=CARTESIAN_POINT('',(0.E0,-7.376505905230E0,0.E0)); #2447=DIRECTION('',(0.E0,-1.E0,0.E0)); #2448=DIRECTION('',(-1.E0,0.E0,0.E0)); #2449=AXIS2_PLACEMENT_3D('',#2446,#2447,#2448); #2450=TOROIDAL_SURFACE('',#2449,3.445E1,5.E-1); #2451=ORIENTED_EDGE('',*,*,#2435,.T.); #2453=ORIENTED_EDGE('',*,*,#2452,.F.); #2455=ORIENTED_EDGE('',*,*,#2454,.F.); #2457=ORIENTED_EDGE('',*,*,#2456,.F.); #2458=EDGE_LOOP('',(#2451,#2453,#2455,#2457)); #2459=FACE_OUTER_BOUND('',#2458,.F.); #2461=CARTESIAN_POINT('',(0.E0,-7.376505905230E0,0.E0)); #2462=DIRECTION('',(0.E0,-1.E0,0.E0)); #2463=DIRECTION('',(-1.E0,0.E0,0.E0)); #2464=AXIS2_PLACEMENT_3D('',#2461,#2462,#2463); #2465=TOROIDAL_SURFACE('',#2464,3.445E1,5.E-1); #2467=ORIENTED_EDGE('',*,*,#2466,.F.); #2468=ORIENTED_EDGE('',*,*,#2456,.T.); #2470=ORIENTED_EDGE('',*,*,#2469,.F.); #2471=ORIENTED_EDGE('',*,*,#2452,.T.); #2472=EDGE_LOOP('',(#2467,#2468,#2470,#2471)); #2473=FACE_OUTER_BOUND('',#2472,.F.); #2475=CARTESIAN_POINT('',(0.E0,-1.222001530468E1,0.E0)); #2476=DIRECTION('',(0.E0,1.E0,0.E0)); #2477=DIRECTION('',(1.E0,0.E0,0.E0)); #2478=AXIS2_PLACEMENT_3D('',#2475,#2476,#2477); #2479=CYLINDRICAL_SURFACE('',#2478,3.495E1); #2480=ORIENTED_EDGE('',*,*,#2466,.T.); #2481=ORIENTED_EDGE('',*,*,#2441,.T.); #2482=ORIENTED_EDGE('',*,*,#2407,.T.); #2483=ORIENTED_EDGE('',*,*,#2437,.T.); #2484=EDGE_LOOP('',(#2480,#2481,#2482,#2483)); #2485=FACE_OUTER_BOUND('',#2484,.F.); #2487=CARTESIAN_POINT('',(0.E0,-6.861648508301E0,0.E0)); #2488=DIRECTION('',(0.E0,-1.E0,0.E0)); #2489=DIRECTION('',(-1.E0,0.E0,0.E0)); #2490=AXIS2_PLACEMENT_3D('',#2487,#2488,#2489); #2491=CONICAL_SURFACE('',#2490,3.461427876097E1,4.999999999997E1); #2493=ORIENTED_EDGE('',*,*,#2492,.T.); #2495=ORIENTED_EDGE('',*,*,#2494,.T.); #2497=ORIENTED_EDGE('',*,*,#2496,.T.); #2498=ORIENTED_EDGE('',*,*,#2469,.T.); #2499=EDGE_LOOP('',(#2493,#2495,#2497,#2498)); #2500=FACE_OUTER_BOUND('',#2499,.F.); #2502=CARTESIAN_POINT('',(0.E0,-6.861648508300E0,0.E0)); #2503=DIRECTION('',(0.E0,-1.E0,0.E0)); #2504=DIRECTION('',(-1.E0,0.E0,0.E0)); #2505=AXIS2_PLACEMENT_3D('',#2502,#2503,#2504); #2506=CONICAL_SURFACE('',#2505,3.461427876097E1,4.999999999997E1); #2507=ORIENTED_EDGE('',*,*,#2492,.F.); #2508=ORIENTED_EDGE('',*,*,#2454,.T.); #2509=ORIENTED_EDGE('',*,*,#2496,.F.); #2511=ORIENTED_EDGE('',*,*,#2510,.T.); #2512=EDGE_LOOP('',(#2507,#2508,#2509,#2511)); #2513=FACE_OUTER_BOUND('',#2512,.F.); #2515=CARTESIAN_POINT('',(0.E0,-6.5E0,0.E0)); #2516=DIRECTION('',(0.E0,-1.E0,0.E0)); #2517=DIRECTION('',(-1.E0,0.E0,0.E0)); #2518=AXIS2_PLACEMENT_3D('',#2515,#2516,#2517); #2519=TOROIDAL_SURFACE('',#2518,3.465E1,3.E-1); #2521=ORIENTED_EDGE('',*,*,#2520,.T.); #2522=ORIENTED_EDGE('',*,*,#2510,.F.); #2524=ORIENTED_EDGE('',*,*,#2523,.T.); #2526=ORIENTED_EDGE('',*,*,#2525,.T.); #2527=EDGE_LOOP('',(#2521,#2522,#2524,#2526)); #2528=FACE_OUTER_BOUND('',#2527,.F.); #2530=CARTESIAN_POINT('',(0.E0,-6.5E0,0.E0)); #2531=DIRECTION('',(0.E0,-1.E0,0.E0)); #2532=DIRECTION('',(-1.E0,0.E0,0.E0)); #2533=AXIS2_PLACEMENT_3D('',#2530,#2531,#2532); #2534=TOROIDAL_SURFACE('',#2533,3.465E1,3.E-1); #2535=ORIENTED_EDGE('',*,*,#2520,.F.); #2537=ORIENTED_EDGE('',*,*,#2536,.T.); #2538=ORIENTED_EDGE('',*,*,#2523,.F.); #2539=ORIENTED_EDGE('',*,*,#2494,.F.); #2540=EDGE_LOOP('',(#2535,#2537,#2538,#2539)); #2541=FACE_OUTER_BOUND('',#2540,.F.); #2543=CARTESIAN_POINT('',(0.E0,-6.138351491700E0,0.E0)); #2544=DIRECTION('',(0.E0,1.E0,0.E0)); #2545=DIRECTION('',(1.E0,0.E0,0.E0)); #2546=AXIS2_PLACEMENT_3D('',#2543,#2544,#2545); #2547=CONICAL_SURFACE('',#2546,3.461427876097E1,4.999999999997E1); #2549=ORIENTED_EDGE('',*,*,#2548,.T.); #2551=ORIENTED_EDGE('',*,*,#2550,.T.); #2553=ORIENTED_EDGE('',*,*,#2552,.T.); #2554=ORIENTED_EDGE('',*,*,#2536,.F.); #2555=EDGE_LOOP('',(#2549,#2551,#2553,#2554)); #2556=FACE_OUTER_BOUND('',#2555,.F.); #2558=CARTESIAN_POINT('',(0.E0,-6.138351491699E0,0.E0)); #2559=DIRECTION('',(0.E0,1.E0,0.E0)); #2560=DIRECTION('',(1.E0,0.E0,0.E0)); #2561=AXIS2_PLACEMENT_3D('',#2558,#2559,#2560); #2562=CONICAL_SURFACE('',#2561,3.461427876097E1,4.999999999997E1); #2563=ORIENTED_EDGE('',*,*,#2548,.F.); #2564=ORIENTED_EDGE('',*,*,#2525,.F.); #2565=ORIENTED_EDGE('',*,*,#2552,.F.); #2567=ORIENTED_EDGE('',*,*,#2566,.T.); #2568=EDGE_LOOP('',(#2563,#2564,#2565,#2567)); #2569=FACE_OUTER_BOUND('',#2568,.F.); #2571=CARTESIAN_POINT('',(0.E0,-5.623494094770E0,0.E0)); #2572=DIRECTION('',(0.E0,-1.E0,0.E0)); #2573=DIRECTION('',(-1.E0,0.E0,0.E0)); #2574=AXIS2_PLACEMENT_3D('',#2571,#2572,#2573); #2575=TOROIDAL_SURFACE('',#2574,3.444999999999E1,5.E-1); #2577=ORIENTED_EDGE('',*,*,#2576,.T.); #2579=ORIENTED_EDGE('',*,*,#2578,.T.); #2580=ORIENTED_EDGE('',*,*,#2566,.F.); #2582=ORIENTED_EDGE('',*,*,#2581,.T.); #2583=EDGE_LOOP('',(#2577,#2579,#2580,#2582)); #2584=FACE_OUTER_BOUND('',#2583,.F.); #2586=CARTESIAN_POINT('',(0.E0,-1.222001530468E1,0.E0)); #2587=DIRECTION('',(0.E0,1.E0,0.E0)); #2588=DIRECTION('',(1.E0,0.E0,0.E0)); #2589=AXIS2_PLACEMENT_3D('',#2586,#2587,#2588); #2590=CYLINDRICAL_SURFACE('',#2589,3.495E1); #2591=ORIENTED_EDGE('',*,*,#2576,.F.); #2593=ORIENTED_EDGE('',*,*,#2592,.F.); #2594=ORIENTED_EDGE('',*,*,#1915,.T.); #2596=ORIENTED_EDGE('',*,*,#2595,.F.); #2597=EDGE_LOOP('',(#2591,#2593,#2594,#2596)); #2598=FACE_OUTER_BOUND('',#2597,.F.); #2600=CARTESIAN_POINT('',(0.E0,-1.222001530468E1,0.E0)); #2601=DIRECTION('',(0.E0,1.E0,0.E0)); #2602=DIRECTION('',(1.E0,0.E0,0.E0)); #2603=AXIS2_PLACEMENT_3D('',#2600,#2601,#2602); #2604=CYLINDRICAL_SURFACE('',#2603,3.495E1); #2606=ORIENTED_EDGE('',*,*,#2605,.F.); #2607=ORIENTED_EDGE('',*,*,#2595,.T.); #2609=ORIENTED_EDGE('',*,*,#2608,.F.); #2610=ORIENTED_EDGE('',*,*,#2592,.T.); #2611=EDGE_LOOP('',(#2606,#2607,#2609,#2610)); #2612=FACE_OUTER_BOUND('',#2611,.F.); #2614=CARTESIAN_POINT('',(0.E0,-5.623494094770E0,0.E0)); #2615=DIRECTION('',(0.E0,-1.E0,0.E0)); #2616=DIRECTION('',(-1.E0,0.E0,0.E0)); #2617=AXIS2_PLACEMENT_3D('',#2614,#2615,#2616); #2618=TOROIDAL_SURFACE('',#2617,3.444999999999E1,5.E-1); #2619=ORIENTED_EDGE('',*,*,#2605,.T.); #2620=ORIENTED_EDGE('',*,*,#2581,.F.); #2621=ORIENTED_EDGE('',*,*,#2550,.F.); #2622=ORIENTED_EDGE('',*,*,#2578,.F.); #2623=EDGE_LOOP('',(#2619,#2620,#2621,#2622)); #2624=FACE_OUTER_BOUND('',#2623,.F.); #2626=CARTESIAN_POINT('',(0.E0,-1.E0,0.E0)); #2627=DIRECTION('',(0.E0,1.E0,0.E0)); #2628=DIRECTION('',(-9.999885569520E-1,0.E0,-4.783927790147E-3)); #2629=AXIS2_PLACEMENT_3D('',#2626,#2627,#2628); #2630=TOROIDAL_SURFACE('',#2629,3.395000000001E1,1.E0); #2631=ORIENTED_EDGE('',*,*,#1856,.F.); #2632=ORIENTED_EDGE('',*,*,#1917,.T.); #2633=ORIENTED_EDGE('',*,*,#2608,.T.); #2634=ORIENTED_EDGE('',*,*,#1913,.T.); #2635=ORIENTED_EDGE('',*,*,#1938,.F.); #2636=EDGE_LOOP('',(#2631,#2632,#2633,#2634,#2635)); #2637=FACE_OUTER_BOUND('',#2636,.F.); #2639=CARTESIAN_POINT('',(0.E0,-1.27E1,0.E0)); #2640=DIRECTION('',(0.E0,-1.E0,0.E0)); #2641=DIRECTION('',(-9.999341724105E-1,0.E0,-1.147392024071E-2)); #2642=AXIS2_PLACEMENT_3D('',#2639,#2640,#2641); #2643=TOROIDAL_SURFACE('',#2642,2.1E1,3.E-1); #2644=ORIENTED_EDGE('',*,*,#2357,.F.); #2645=ORIENTED_EDGE('',*,*,#2339,.T.); #2646=ORIENTED_EDGE('',*,*,#2296,.T.); #2647=ORIENTED_EDGE('',*,*,#2336,.T.); #2648=EDGE_LOOP('',(#2644,#2645,#2646,#2647)); #2649=FACE_OUTER_BOUND('',#2648,.F.); #2651=CARTESIAN_POINT('',(-2.541784560107E1,2.6E-1,-1.4675E1)); #2652=DIRECTION('',(0.E0,-1.E0,0.E0)); #2653=DIRECTION('',(1.E0,0.E0,0.E0)); #2654=AXIS2_PLACEMENT_3D('',#2651,#2652,#2653); #2655=CYLINDRICAL_SURFACE('',#2654,3.4E0); #2656=ORIENTED_EDGE('',*,*,#1868,.F.); #2658=ORIENTED_EDGE('',*,*,#2657,.F.); #2659=ORIENTED_EDGE('',*,*,#2362,.F.); #2661=ORIENTED_EDGE('',*,*,#2660,.F.); #2662=EDGE_LOOP('',(#2656,#2658,#2659,#2661)); #2663=FACE_OUTER_BOUND('',#2662,.F.); #2665=CARTESIAN_POINT('',(-2.541784560107E1,2.6E-1,-1.4675E1)); #2666=DIRECTION('',(0.E0,-1.E0,0.E0)); #2667=DIRECTION('',(1.E0,0.E0,0.E0)); #2668=AXIS2_PLACEMENT_3D('',#2665,#2666,#2667); #2669=CYLINDRICAL_SURFACE('',#2668,3.4E0); #2670=ORIENTED_EDGE('',*,*,#1870,.F.); #2671=ORIENTED_EDGE('',*,*,#2660,.T.); #2672=ORIENTED_EDGE('',*,*,#2364,.F.); #2673=ORIENTED_EDGE('',*,*,#2657,.T.); #2674=EDGE_LOOP('',(#2670,#2671,#2672,#2673)); #2675=FACE_OUTER_BOUND('',#2674,.F.); #2677=CARTESIAN_POINT('',(-2.541784560107E1,2.6E-1,1.4675E1)); #2678=DIRECTION('',(0.E0,-1.E0,0.E0)); #2679=DIRECTION('',(4.999999999996E-1,0.E0,-8.660254037847E-1)); #2680=AXIS2_PLACEMENT_3D('',#2677,#2678,#2679); #2681=CYLINDRICAL_SURFACE('',#2680,3.400000000003E0); #2682=ORIENTED_EDGE('',*,*,#1874,.F.); #2684=ORIENTED_EDGE('',*,*,#2683,.F.); #2685=ORIENTED_EDGE('',*,*,#2368,.F.); #2687=ORIENTED_EDGE('',*,*,#2686,.F.); #2688=EDGE_LOOP('',(#2682,#2684,#2685,#2687)); #2689=FACE_OUTER_BOUND('',#2688,.F.); #2691=CARTESIAN_POINT('',(-2.541784560107E1,2.6E-1,1.4675E1)); #2692=DIRECTION('',(0.E0,-1.E0,0.E0)); #2693=DIRECTION('',(4.999999999996E-1,0.E0,-8.660254037847E-1)); #2694=AXIS2_PLACEMENT_3D('',#2691,#2692,#2693); #2695=CYLINDRICAL_SURFACE('',#2694,3.400000000003E0); #2696=ORIENTED_EDGE('',*,*,#1876,.F.); #2697=ORIENTED_EDGE('',*,*,#2686,.T.); #2698=ORIENTED_EDGE('',*,*,#2370,.F.); #2699=ORIENTED_EDGE('',*,*,#2683,.T.); #2700=EDGE_LOOP('',(#2696,#2697,#2698,#2699)); #2701=FACE_OUTER_BOUND('',#2700,.F.); #2703=CARTESIAN_POINT('',(0.E0,2.6E-1,2.935E1)); #2704=DIRECTION('',(0.E0,-1.E0,0.E0)); #2705=DIRECTION('',(-4.999999999996E-1,0.E0,-8.660254037847E-1)); #2706=AXIS2_PLACEMENT_3D('',#2703,#2704,#2705); #2707=CYLINDRICAL_SURFACE('',#2706,3.400000000003E0); #2708=ORIENTED_EDGE('',*,*,#1880,.F.); #2710=ORIENTED_EDGE('',*,*,#2709,.F.); #2711=ORIENTED_EDGE('',*,*,#2374,.F.); #2713=ORIENTED_EDGE('',*,*,#2712,.F.); #2714=EDGE_LOOP('',(#2708,#2710,#2711,#2713)); #2715=FACE_OUTER_BOUND('',#2714,.F.); #2717=CARTESIAN_POINT('',(0.E0,2.6E-1,2.935E1)); #2718=DIRECTION('',(0.E0,-1.E0,0.E0)); #2719=DIRECTION('',(-4.999999999996E-1,0.E0,-8.660254037847E-1)); #2720=AXIS2_PLACEMENT_3D('',#2717,#2718,#2719); #2721=CYLINDRICAL_SURFACE('',#2720,3.400000000003E0); #2722=ORIENTED_EDGE('',*,*,#1882,.F.); #2723=ORIENTED_EDGE('',*,*,#2712,.T.); #2724=ORIENTED_EDGE('',*,*,#2376,.F.); #2725=ORIENTED_EDGE('',*,*,#2709,.T.); #2726=EDGE_LOOP('',(#2722,#2723,#2724,#2725)); #2727=FACE_OUTER_BOUND('',#2726,.F.); #2729=CARTESIAN_POINT('',(2.541784560107E1,2.6E-1,1.4675E1)); #2730=DIRECTION('',(0.E0,-1.E0,0.E0)); #2731=DIRECTION('',(-1.E0,0.E0,0.E0)); #2732=AXIS2_PLACEMENT_3D('',#2729,#2730,#2731); #2733=CYLINDRICAL_SURFACE('',#2732,3.4E0); #2734=ORIENTED_EDGE('',*,*,#1886,.F.); #2736=ORIENTED_EDGE('',*,*,#2735,.F.); #2737=ORIENTED_EDGE('',*,*,#2380,.F.); #2739=ORIENTED_EDGE('',*,*,#2738,.F.); #2740=EDGE_LOOP('',(#2734,#2736,#2737,#2739)); #2741=FACE_OUTER_BOUND('',#2740,.F.); #2743=CARTESIAN_POINT('',(2.541784560107E1,2.6E-1,1.4675E1)); #2744=DIRECTION('',(0.E0,-1.E0,0.E0)); #2745=DIRECTION('',(-1.E0,0.E0,0.E0)); #2746=AXIS2_PLACEMENT_3D('',#2743,#2744,#2745); #2747=CYLINDRICAL_SURFACE('',#2746,3.4E0); #2748=ORIENTED_EDGE('',*,*,#1888,.F.); #2749=ORIENTED_EDGE('',*,*,#2738,.T.); #2750=ORIENTED_EDGE('',*,*,#2382,.F.); #2751=ORIENTED_EDGE('',*,*,#2735,.T.); #2752=EDGE_LOOP('',(#2748,#2749,#2750,#2751)); #2753=FACE_OUTER_BOUND('',#2752,.F.); #2755=CARTESIAN_POINT('',(2.541784560107E1,2.6E-1,-1.4675E1)); #2756=DIRECTION('',(0.E0,-1.E0,0.E0)); #2757=DIRECTION('',(-4.999999999996E-1,0.E0,8.660254037847E-1)); #2758=AXIS2_PLACEMENT_3D('',#2755,#2756,#2757); #2759=CYLINDRICAL_SURFACE('',#2758,3.400000000003E0); #2760=ORIENTED_EDGE('',*,*,#1892,.F.); #2762=ORIENTED_EDGE('',*,*,#2761,.F.); #2763=ORIENTED_EDGE('',*,*,#2386,.F.); #2765=ORIENTED_EDGE('',*,*,#2764,.F.); #2766=EDGE_LOOP('',(#2760,#2762,#2763,#2765)); #2767=FACE_OUTER_BOUND('',#2766,.F.); #2769=CARTESIAN_POINT('',(2.541784560107E1,2.6E-1,-1.4675E1)); #2770=DIRECTION('',(0.E0,-1.E0,0.E0)); #2771=DIRECTION('',(-4.999999999996E-1,0.E0,8.660254037847E-1)); #2772=AXIS2_PLACEMENT_3D('',#2769,#2770,#2771); #2773=CYLINDRICAL_SURFACE('',#2772,3.400000000003E0); #2774=ORIENTED_EDGE('',*,*,#1894,.F.); #2775=ORIENTED_EDGE('',*,*,#2764,.T.); #2776=ORIENTED_EDGE('',*,*,#2388,.F.); #2777=ORIENTED_EDGE('',*,*,#2761,.T.); #2778=EDGE_LOOP('',(#2774,#2775,#2776,#2777)); #2779=FACE_OUTER_BOUND('',#2778,.F.); #2781=CARTESIAN_POINT('',(0.E0,2.6E-1,-2.935E1)); #2782=DIRECTION('',(0.E0,-1.E0,0.E0)); #2783=DIRECTION('',(4.999999999996E-1,0.E0,8.660254037847E-1)); #2784=AXIS2_PLACEMENT_3D('',#2781,#2782,#2783); #2785=CYLINDRICAL_SURFACE('',#2784,3.400000000003E0); #2786=ORIENTED_EDGE('',*,*,#1898,.F.); #2788=ORIENTED_EDGE('',*,*,#2787,.F.); #2789=ORIENTED_EDGE('',*,*,#2392,.F.); #2791=ORIENTED_EDGE('',*,*,#2790,.F.); #2792=EDGE_LOOP('',(#2786,#2788,#2789,#2791)); #2793=FACE_OUTER_BOUND('',#2792,.F.); #2795=CARTESIAN_POINT('',(0.E0,2.6E-1,-2.935E1)); #2796=DIRECTION('',(0.E0,-1.E0,0.E0)); #2797=DIRECTION('',(4.999999999996E-1,0.E0,8.660254037847E-1)); #2798=AXIS2_PLACEMENT_3D('',#2795,#2796,#2797); #2799=CYLINDRICAL_SURFACE('',#2798,3.400000000003E0); #2800=ORIENTED_EDGE('',*,*,#1900,.F.); #2801=ORIENTED_EDGE('',*,*,#2790,.T.); #2802=ORIENTED_EDGE('',*,*,#2394,.F.); #2803=ORIENTED_EDGE('',*,*,#2787,.T.); #2804=EDGE_LOOP('',(#2800,#2801,#2802,#2803)); #2805=FACE_OUTER_BOUND('',#2804,.F.); #2807=CARTESIAN_POINT('',(0.E0,-6.4164E1,0.E0)); #2808=DIRECTION('',(0.E0,1.E0,0.E0)); #2809=DIRECTION('',(0.E0,0.E0,-1.E0)); #2810=AXIS2_PLACEMENT_3D('',#2807,#2808,#2809); #2811=CYLINDRICAL_SURFACE('',#2810,2.06375E1); #2812=ORIENTED_EDGE('',*,*,#2240,.T.); #2813=ORIENTED_EDGE('',*,*,#2223,.T.); #2814=ORIENTED_EDGE('',*,*,#2203,.F.); #2815=ORIENTED_EDGE('',*,*,#2220,.T.); #2816=EDGE_LOOP('',(#2812,#2813,#2814,#2815)); #2817=FACE_OUTER_BOUND('',#2816,.F.); #2819=CARTESIAN_POINT('',(0.E0,-6.4164E1,0.E0)); #2820=DIRECTION('',(0.E0,1.E0,0.E0)); #2821=DIRECTION('',(0.E0,0.E0,-1.E0)); #2822=AXIS2_PLACEMENT_3D('',#2819,#2820,#2821); #2823=CYLINDRICAL_SURFACE('',#2822,1.91375E1); #2824=ORIENTED_EDGE('',*,*,#2208,.F.); #2825=ORIENTED_EDGE('',*,*,#2191,.T.); #2826=ORIENTED_EDGE('',*,*,#2176,.F.); #2827=ORIENTED_EDGE('',*,*,#2188,.T.); #2828=EDGE_LOOP('',(#2824,#2825,#2826,#2827)); #2829=FACE_OUTER_BOUND('',#2828,.F.); #2831=CARTESIAN_POINT('',(0.E0,-4.6E0,0.E0)); #2832=DIRECTION('',(0.E0,1.E0,0.E0)); #2833=DIRECTION('',(9.999676929685E-1,0.E0,-8.038222392784E-3)); #2834=AXIS2_PLACEMENT_3D('',#2831,#2832,#2833); #2835=TOROIDAL_SURFACE('',#2834,2.02E1,2.E-1); #2836=ORIENTED_EDGE('',*,*,#2170,.T.); #2837=ORIENTED_EDGE('',*,*,#2159,.T.); #2839=ORIENTED_EDGE('',*,*,#2838,.F.); #2840=ORIENTED_EDGE('',*,*,#2156,.T.); #2841=EDGE_LOOP('',(#2836,#2837,#2839,#2840)); #2842=FACE_OUTER_BOUND('',#2841,.F.); #2844=CARTESIAN_POINT('',(0.E0,-4.730486656973E0,0.E0)); #2845=DIRECTION('',(0.E0,1.E0,0.E0)); #2846=DIRECTION('',(-1.E0,0.E0,0.E0)); #2847=AXIS2_PLACEMENT_3D('',#2844,#2845,#2846); #2848=CYLINDRICAL_SURFACE('',#2847,2.E1); #2849=ORIENTED_EDGE('',*,*,#2838,.T.); #2850=ORIENTED_EDGE('',*,*,#2144,.T.); #2852=ORIENTED_EDGE('',*,*,#2851,.F.); #2853=ORIENTED_EDGE('',*,*,#2141,.T.); #2854=EDGE_LOOP('',(#2849,#2850,#2852,#2853)); #2855=FACE_OUTER_BOUND('',#2854,.F.); #2857=CARTESIAN_POINT('',(0.E0,-1.5E0,0.E0)); #2858=DIRECTION('',(0.E0,1.E0,0.E0)); #2859=DIRECTION('',(-9.999616039927E-1,0.E0,-8.763021186223E-3)); #2860=AXIS2_PLACEMENT_3D('',#2857,#2858,#2859); #2861=TOROIDAL_SURFACE('',#2860,2.029999999999E1,3.E-1); #2862=ORIENTED_EDGE('',*,*,#2851,.T.); #2863=ORIENTED_EDGE('',*,*,#2129,.T.); #2865=ORIENTED_EDGE('',*,*,#2864,.F.); #2866=ORIENTED_EDGE('',*,*,#2126,.T.); #2867=EDGE_LOOP('',(#2862,#2863,#2865,#2866)); #2868=FACE_OUTER_BOUND('',#2867,.F.); #2870=CARTESIAN_POINT('',(0.E0,-8.E-1,0.E0)); #2871=DIRECTION('',(0.E0,-1.E0,0.E0)); #2872=DIRECTION('',(-1.E0,0.E0,0.E0)); #2873=AXIS2_PLACEMENT_3D('',#2870,#2871,#2872); #2874=TOROIDAL_SURFACE('',#2873,2.029211431195E1,4.E-1); #2875=ORIENTED_EDGE('',*,*,#2864,.T.); #2876=ORIENTED_EDGE('',*,*,#2114,.T.); #2877=ORIENTED_EDGE('',*,*,#2083,.T.); #2878=ORIENTED_EDGE('',*,*,#2111,.T.); #2879=EDGE_LOOP('',(#2875,#2876,#2877,#2878)); #2880=FACE_OUTER_BOUND('',#2879,.F.); #2882=CLOSED_SHELL('',(#1904,#1921,#1942,#1960,#1976,#1991,#2006,#2019,#2034, #2047,#2062,#2075,#2090,#2103,#2118,#2133,#2148,#2163,#2180,#2195,#2212,#2227, #2244,#2259,#2272,#2287,#2302,#2316,#2328,#2343,#2398,#2413,#2429,#2445,#2460, #2474,#2486,#2501,#2514,#2529,#2542,#2557,#2570,#2585,#2599,#2613,#2625,#2638, #2650,#2664,#2676,#2690,#2702,#2716,#2728,#2742,#2754,#2768,#2780,#2794,#2806, #2818,#2830,#2843,#2856,#2869,#2881)); #2883=MANIFOLD_SOLID_BREP('',#2882); #2886=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(1.745329251994E-2), #2885); #2887=(CONVERSION_BASED_UNIT('DEGREE',#2886)NAMED_UNIT(*)PLANE_ANGLE_UNIT()); #2889=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(2.534787192183E-3),#2884, 'distance_accuracy_value', 'Maximum model space distance between geometric entities at asserted connectivities'); #2892=APPLICATION_CONTEXT('automotive_design'); #2893=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2001,#2892); #2894=PRODUCT_DEFINITION_CONTEXT('part definition',#2892,'design'); #2895=PRODUCT_CONTEXT('',#2892,'mechanical'); #2896=PRODUCT('ZBFT41','ZBFT41','NOT SPECIFIED',(#2895)); #2897=PRODUCT_DEFINITION_FORMATION('1','LAST_VERSION',#2896); #2905=DERIVED_UNIT_ELEMENT(#2904,2.E0); #2906=DERIVED_UNIT((#2905)); #2907=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( 2.574897679846E4),#2906); #2912=DERIVED_UNIT_ELEMENT(#2911,3.E0); #2913=DERIVED_UNIT((#2912)); #2914=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( 3.979369682404E4),#2913); #2918=CARTESIAN_POINT('centre point',(1.727571422392E-2,-1.406074533989E1, 5.883354773094E-7)); #2923=DERIVED_UNIT_ELEMENT(#2922,2.E0); #2924=DERIVED_UNIT((#2923)); #2925=MEASURE_REPRESENTATION_ITEM('surface area measure',AREA_MEASURE( 2.574897679846E4),#2924); #2930=DERIVED_UNIT_ELEMENT(#2929,3.E0); #2931=DERIVED_UNIT((#2930)); #2932=MEASURE_REPRESENTATION_ITEM('volume measure',VOLUME_MEASURE( 3.979369682404E4),#2931); #2936=CARTESIAN_POINT('centre point',(1.727571422392E-2,-1.406074533989E1, 5.883354773094E-7)); #2941=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#2896)); #2943=GENERAL_PROPERTY('','REVISION','user defined attribute'); #2944=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#2943,#2942); #2945=DESCRIPTIVE_REPRESENTATION_ITEM('REVISION','A'); #2949=GENERAL_PROPERTY('','TITLE1','user defined attribute'); #2950=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#2949,#2948); #2951=DESCRIPTIVE_REPRESENTATION_ITEM('TITLE1','?'); #2955=GENERAL_PROPERTY('','TITLE2','user defined attribute'); #2956=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#2955,#2954); #2957=DESCRIPTIVE_REPRESENTATION_ITEM('TITLE2','?'); #2961=GENERAL_PROPERTY('','ART_NO','user defined attribute'); #2962=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#2961,#2960); #2963=DESCRIPTIVE_REPRESENTATION_ITEM('ART_NO',''); #2967=GENERAL_PROPERTY('','DESIGNER','user defined attribute'); #2968=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#2967,#2966); #2969=DESCRIPTIVE_REPRESENTATION_ITEM('DESIGNER','?'); #2973=GENERAL_PROPERTY('','APPROVED','user defined attribute'); #2974=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#2973,#2972); #2975=DESCRIPTIVE_REPRESENTATION_ITEM('APPROVED','?'); #2979=GENERAL_PROPERTY('','REL_DATE','user defined attribute'); #2980=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#2979,#2978); #2981=DESCRIPTIVE_REPRESENTATION_ITEM('REL_DATE','?'); #2985=GENERAL_PROPERTY('','TREATMENT','user defined attribute'); #2986=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#2985,#2984); #2987=DESCRIPTIVE_REPRESENTATION_ITEM('TREATMENT',''); #2991=GENERAL_PROPERTY('','WELD_TOL','user defined attribute'); #2992=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#2991,#2990); #2993=DESCRIPTIVE_REPRESENTATION_ITEM('WELD_TOL','AA'); #2997=GENERAL_PROPERTY('','EDGE_CHAMFERS','user defined attribute'); #2998=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#2997,#2996); #2999=DESCRIPTIVE_REPRESENTATION_ITEM('EDGE_CHAMFERS','0.2'); #3003=GENERAL_PROPERTY('','FILLETS_RADIUS','user defined attribute'); #3004=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3003,#3002); #3005=DESCRIPTIVE_REPRESENTATION_ITEM('FILLETS_RADIUS','0.2'); #3009=GENERAL_PROPERTY('','DRW_NO','user defined attribute'); #3010=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3009,#3008); #3011=DESCRIPTIVE_REPRESENTATION_ITEM('DRW_NO',''); #3015=GENERAL_PROPERTY('','PDMREV','user defined attribute'); #3016=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3015,#3014); #3017=DESCRIPTIVE_REPRESENTATION_ITEM('PDMREV','0+'); #3021=GENERAL_PROPERTY('','PDMDB','user defined attribute'); #3022=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3021,#3020); #3023=DESCRIPTIVE_REPRESENTATION_ITEM('PDMDB',''); #3027=GENERAL_PROPERTY('','PDMRL','user defined attribute'); #3028=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3027,#3026); #3029=DESCRIPTIVE_REPRESENTATION_ITEM('PDMRL',''); #3033=GENERAL_PROPERTY('','PROI_REVISION','user defined attribute'); #3034=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3033,#3032); #3035=DESCRIPTIVE_REPRESENTATION_ITEM('PROI_REVISION',''); #3039=GENERAL_PROPERTY('','PROI_VERSION','user defined attribute'); #3040=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3039,#3038); #3041=DESCRIPTIVE_REPRESENTATION_ITEM('PROI_VERSION','0'); #3045=GENERAL_PROPERTY('','PROI_BRANCH','user defined attribute'); #3046=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3045,#3044); #3047=DESCRIPTIVE_REPRESENTATION_ITEM('PROI_BRANCH',''); #3051=GENERAL_PROPERTY('','PROI_RELEASE','user defined attribute'); #3052=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3051,#3050); #3053=DESCRIPTIVE_REPRESENTATION_ITEM('PROI_RELEASE',''); #3057=GENERAL_PROPERTY('','PTC_MODIFIED','user defined attribute'); #3058=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3057,#3056); #3059=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_MODIFIED','YES'); #3062=DESCRIPTIVE_REPRESENTATION_ITEM('attribute description','Yes No'); #3067=GENERAL_PROPERTY('','PROI_CREATED_BY','user defined attribute'); #3068=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3067,#3066); #3069=DESCRIPTIVE_REPRESENTATION_ITEM('PROI_CREATED_BY','colin.hood'); #3073=GENERAL_PROPERTY('','PROI_CREATED_ON','user defined attribute'); #3074=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3073,#3072); #3075=DESCRIPTIVE_REPRESENTATION_ITEM('PROI_CREATED_ON','08/01/2009 09:42:50'); #3079=GENERAL_PROPERTY('','PROI_LOCATION','user defined attribute'); #3080=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3079,#3078); #3081=DESCRIPTIVE_REPRESENTATION_ITEM('PROI_LOCATION', 'Root Folder/H_Library/Templates'); #3085=GENERAL_PROPERTY('','PTC_COMMON_NAME','user defined attribute'); #3086=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3085,#3084); #3087=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_COMMON_NAME','ZBFT41'); #3091=GENERAL_PROPERTY('','OBSOLETE','user defined attribute'); #3092=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3091,#3090); #3093=DESCRIPTIVE_REPRESENTATION_ITEM('OBSOLETE','NO'); #3097=GENERAL_PROPERTY('','ADDRESS_1','user defined attribute'); #3098=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3097,#3096); #3099=DESCRIPTIVE_REPRESENTATION_ITEM('ADDRESS_1', 'CASTLEHAM IND EST, MAUNSELL RD'); #3103=GENERAL_PROPERTY('','ADDRESS_2','user defined attribute'); #3104=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3103,#3102); #3105=DESCRIPTIVE_REPRESENTATION_ITEM('ADDRESS_2','HASTINGS, TN38 9NN, UK'); #3109=GENERAL_PROPERTY('','ADDRESS_3','user defined attribute'); #3110=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3109,#3108); #3111=DESCRIPTIVE_REPRESENTATION_ITEM('ADDRESS_3','+44(0)1424851291'); #3115=GENERAL_PROPERTY('','GENERAL_TOL','user defined attribute'); #3116=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3115,#3114); #3117=DESCRIPTIVE_REPRESENTATION_ITEM('GENERAL_TOL','m'); #3121=GENERAL_PROPERTY('','MATERIAL','user defined attribute'); #3122=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3121,#3120); #3123=DESCRIPTIVE_REPRESENTATION_ITEM('MATERIAL','STAINLESS STEEL 304'); #3127=GENERAL_PROPERTY('','SURFACE_FINISH','user defined attribute'); #3128=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3127,#3126); #3129=DESCRIPTIVE_REPRESENTATION_ITEM('SURFACE_FINISH','1.6'); #3133=GENERAL_PROPERTY('','MASS','user defined attribute'); #3134=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3133,#3132); #3135=VALUE_REPRESENTATION_ITEM('MASS',NUMERIC_MEASURE(0.E0)); #3138=DESCRIPTIVE_REPRESENTATION_ITEM('attribute description','Real Number'); #3143=GENERAL_PROPERTY('','CUSTOMER_DRW_NO','user defined attribute'); #3144=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3143,#3142); #3145=DESCRIPTIVE_REPRESENTATION_ITEM('CUSTOMER_DRW_NO','-'); #3149=GENERAL_PROPERTY('','MANUFACTURER','user defined attribute'); #3150=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3149,#3148); #3151=DESCRIPTIVE_REPRESENTATION_ITEM('MANUFACTURER','-'); #3155=GENERAL_PROPERTY('','MFR_PART_NO','user defined attribute'); #3156=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3155,#3154); #3157=DESCRIPTIVE_REPRESENTATION_ITEM('MFR_PART_NO','-'); #3161=GENERAL_PROPERTY('','PTC_MATERIAL_NAME','user defined attribute'); #3162=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3161,#3160); #3163=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_MATERIAL_NAME','ST_STEEL'); #3167=GENERAL_PROPERTY('','PTC_WM_REVISION','user defined attribute'); #3168=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3167,#3166); #3169=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_REVISION',''); #3173=GENERAL_PROPERTY('','PTC_WM_ITERATION','user defined attribute'); #3174=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3173,#3172); #3175=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_ITERATION','0'); #3179=GENERAL_PROPERTY('','PTC_WM_VERSION','user defined attribute'); #3180=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3179,#3178); #3181=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_VERSION','.0'); #3185=GENERAL_PROPERTY('','PTC_WM_LIFECYCLE_STATE','user defined attribute'); #3186=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3185,#3184); #3187=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_LIFECYCLE_STATE',''); #3191=GENERAL_PROPERTY('','PTC_WM_LIFECYCLE','user defined attribute'); #3192=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3191,#3190); #3193=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_LIFECYCLE',''); #3197=GENERAL_PROPERTY('','PTC_WM_LOCATION','user defined attribute'); #3198=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3197,#3196); #3199=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_LOCATION',''); #3203=GENERAL_PROPERTY('','PTC_WM_CREATED_BY','user defined attribute'); #3204=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3203,#3202); #3205=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_CREATED_BY',''); #3209=GENERAL_PROPERTY('','PTC_WM_CREATED_ON','user defined attribute'); #3210=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3209,#3208); #3211=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_CREATED_ON', '29-Jan-13 11:21:59 AM'); #3215=GENERAL_PROPERTY('','PTC_WM_MODIFIED_BY','user defined attribute'); #3216=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3215,#3214); #3217=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_MODIFIED_BY',''); #3221=GENERAL_PROPERTY('','PTC_WM_MODIFIED_ON','user defined attribute'); #3222=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3221,#3220); #3223=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_MODIFIED_ON', '29-Jan-13 11:21:59 AM'); #3227=GENERAL_PROPERTY('','PTC_WM_TEAM','user defined attribute'); #3228=GENERAL_PROPERTY_ASSOCIATION('user defined attribute','',#3227,#3226); #3229=DESCRIPTIVE_REPRESENTATION_ITEM('PTC_WM_TEAM',''); #1=DRAUGHTING_PRE_DEFINED_COLOUR('green'); #2=COLOUR_RGB('',1.1E-2,1.2E-2,1.E0); #3=COLOUR_RGB('',3.921568627451E-1,1.960784313725E-1,1.960784313725E-1); #4=COLOUR_RGB('',4.1E-1,2.61589E-1,1.12848E-1); #5=COLOUR_RGB('',5.04854E-1,1.5455E-2,1.5455E-2); #6=COLOUR_RGB('',5.882352941176E-1,5.686274509804E-1,5.490196078431E-1); #7=COLOUR_RGB('',6.40777E-1,6.40777E-1,6.40777E-1); #8=COLOUR_RGB('',6.69062E-1,6.69903E-1,6.55217E-1); #9=COLOUR_RGB('',7.529411764706E-1,7.529411764706E-1,7.529411764706E-1); #10=COLOUR_RGB('',8.470588235294E-1,8.470588235294E-1,8.470588235294E-1); #11=COLOUR_RGB('',8.980392156863E-1,9.176470588235E-1,9.294117647059E-1); #12=COLOUR_RGB('',9.21569E-1,7.05882E-1,4.70588E-1); #13=DRAUGHTING_PRE_DEFINED_COLOUR('red'); #14=DRAUGHTING_PRE_DEFINED_COLOUR('yellow'); #15=COLOUR_RGB('',1.E0,1.E0,5.3E-1); #16=COLOUR_RGB('',1.E0,1.E0,9.49E-1); #17=DRAUGHTING_PRE_DEFINED_COLOUR('white'); #22=CIRCLE('',#21,3.395E1); #27=CIRCLE('',#26,3.395E1); #32=CIRCLE('',#31,2.445E1); #37=CIRCLE('',#36,2.445E1); #42=CIRCLE('',#41,3.4E0); #47=CIRCLE('',#46,3.4E0); #52=CIRCLE('',#51,3.400000000003E0); #57=CIRCLE('',#56,3.400000000003E0); #62=CIRCLE('',#61,3.400000000003E0); #67=CIRCLE('',#66,3.400000000003E0); #72=CIRCLE('',#71,3.4E0); #77=CIRCLE('',#76,3.4E0); #82=CIRCLE('',#81,3.400000000003E0); #87=CIRCLE('',#86,3.400000000003E0); #92=CIRCLE('',#91,3.400000000003E0); #97=CIRCLE('',#96,3.400000000003E0); #121=B_SPLINE_CURVE_WITH_KNOTS('',3,(#98,#99,#100,#101,#102,#103,#104,#105,#106, #107,#108,#109,#110,#111,#112,#113,#114,#115,#116,#117,#118,#119,#120), .UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0,5.E-2, 1.E-1,1.5E-1,2.E-1,2.5E-1,3.E-1,3.5E-1,4.E-1,4.5E-1,5.E-1,5.5E-1,6.E-1,6.5E-1, 7.E-1,7.5E-1,8.E-1,8.5E-1,9.E-1,9.5E-1,1.E0),.UNSPECIFIED.); #154=B_SPLINE_CURVE_WITH_KNOTS('',3,(#130,#131,#132,#133,#134,#135,#136,#137, #138,#139,#140,#141,#142,#143,#144,#145,#146,#147,#148,#149,#150,#151,#152, #153),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, 4.761904761905E-2,9.523809523810E-2,1.428571428571E-1,1.904761904762E-1, 2.380952380952E-1,2.857142857143E-1,3.333333333333E-1,3.809523809524E-1, 4.285714285714E-1,4.761904761905E-1,5.238095238095E-1,5.714285714286E-1, 6.190476190476E-1,6.666666666667E-1,7.142857142857E-1,7.619047619048E-1, 8.095238095238E-1,8.571428571429E-1,9.047619047619E-1,9.523809523810E-1,1.E0), .UNSPECIFIED.); #183=B_SPLINE_CURVE_WITH_KNOTS('',3,(#155,#156,#157,#158,#159,#160,#161,#162, #163,#164,#165,#166,#167,#168,#169,#170,#171,#172,#173,#174,#175,#176,#177,#178, #179,#180,#181,#182),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,4),(0.E0,4.E-2,8.E-2,1.2E-1,1.6E-1,2.E-1,2.4E-1,2.8E-1,3.2E-1, 3.6E-1,4.E-1,4.4E-1,4.8E-1,5.2E-1,5.6E-1,6.E-1,6.4E-1,6.8E-1,7.2E-1,7.6E-1, 8.E-1,8.4E-1,8.8E-1,9.2E-1,9.6E-1,1.E0),.UNSPECIFIED.); #212=B_SPLINE_CURVE_WITH_KNOTS('',3,(#184,#185,#186,#187,#188,#189,#190,#191, #192,#193,#194,#195,#196,#197,#198,#199,#200,#201,#202,#203,#204,#205,#206,#207, #208,#209,#210,#211),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,4),(0.E0,4.E-2,8.E-2,1.2E-1,1.6E-1,2.E-1,2.4E-1,2.8E-1,3.2E-1, 3.6E-1,4.E-1,4.4E-1,4.8E-1,5.2E-1,5.6E-1,6.E-1,6.4E-1,6.8E-1,7.2E-1,7.6E-1, 8.E-1,8.4E-1,8.8E-1,9.2E-1,9.6E-1,1.E0),.UNSPECIFIED.); #217=CIRCLE('',#216,2.415E1); #229=B_SPLINE_CURVE_WITH_KNOTS('',3,(#218,#219,#220,#221,#222,#223,#224,#225, #226,#227,#228),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1, 3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); #234=CIRCLE('',#233,2.415E1); #239=CIRCLE('',#238,2.415E1); #259=B_SPLINE_CURVE_WITH_KNOTS('',3,(#248,#249,#250,#251,#252,#253,#254,#255, #256,#257,#258),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,4),(0.E0,1.25E-1,2.5E-1, 3.75E-1,5.E-1,6.25E-1,7.5E-1,8.75E-1,1.E0),.UNSPECIFIED.); #264=CIRCLE('',#263,2.414999999999E1); #269=CIRCLE('',#268,4.E-1); #274=CIRCLE('',#273,4.E-1); #279=CIRCLE('',#278,2.414999999999E1); #284=CIRCLE('',#283,2.361319194267E1); #293=CIRCLE('',#292,2.361319194267E1); #302=CIRCLE('',#301,2.106262221577E1); #307=CIRCLE('',#306,1.1E-1); #312=CIRCLE('',#311,1.1E-1); #317=CIRCLE('',#316,2.106262221577E1); #322=CIRCLE('',#321,2.094073511126E1); #331=CIRCLE('',#330,2.094073511126E1); #340=CIRCLE('',#339,2.059853208919E1); #345=CIRCLE('',#344,2.029662013351E1); #350=CIRCLE('',#349,2.E1); #355=CIRCLE('',#354,2.02E1); #360=CIRCLE('',#359,2.E1); #365=CIRCLE('',#364,1.91375E1); #370=CIRCLE('',#369,1.91375E1); #375=CIRCLE('',#374,2.06375E1); #380=CIRCLE('',#379,2.06375E1); #385=CIRCLE('',#384,1.91375E1); #390=CIRCLE('',#389,1.91375E1); #395=CIRCLE('',#394,2.07E1); #400=CIRCLE('',#399,2.07E1); #405=CIRCLE('',#404,2.06375E1); #410=CIRCLE('',#409,2.06375E1); #415=CIRCLE('',#414,8.E-1); #420=CIRCLE('',#419,8.E-1); #425=CIRCLE('',#424,2.137843847693E1); #430=CIRCLE('',#429,2.07E1); #443=CIRCLE('',#442,2.07E1); #452=CIRCLE('',#451,2.137843847693E1); #461=CIRCLE('',#460,2.07E1); #466=CIRCLE('',#465,3.394999555752E1); #471=CIRCLE('',#470,3.394999555752E1); #476=CIRCLE('',#475,3.394999555752E1); #481=CIRCLE('',#480,2.099999985164E1); #486=CIRCLE('',#485,2.099999985164E1); #491=CIRCLE('',#490,3.4E0); #496=CIRCLE('',#495,3.4E0); #501=CIRCLE('',#500,3.400000000003E0); #506=CIRCLE('',#505,3.400000000003E0); #511=CIRCLE('',#510,3.400000000003E0); #516=CIRCLE('',#515,3.400000000003E0); #521=CIRCLE('',#520,3.4E0); #526=CIRCLE('',#525,3.4E0); #531=CIRCLE('',#530,3.400000000003E0); #536=CIRCLE('',#535,3.400000000003E0); #541=CIRCLE('',#540,3.400000000003E0); #546=CIRCLE('',#545,3.400000000003E0); #559=CIRCLE('',#558,3.495E1); #564=CIRCLE('',#563,3.495E1); #569=CIRCLE('',#568,3.495E1); #574=CIRCLE('',#573,5.E-1); #579=CIRCLE('',#578,5.E-1); #584=CIRCLE('',#583,3.495E1); #593=CIRCLE('',#592,3.495E1); #606=CIRCLE('',#605,3.445716371709E1); #615=CIRCLE('',#614,3.477139380484E1); #620=CIRCLE('',#619,3.477139380484E1); #625=CIRCLE('',#624,3.445716371709E1); #630=CIRCLE('',#629,3.E-1); #635=CIRCLE('',#634,3.E-1); #640=CIRCLE('',#639,3.445716371709E1); #645=CIRCLE('',#644,3.445716371709E1); #654=CIRCLE('',#653,3.477139380484E1); #663=CIRCLE('',#662,3.477139380484E1); #668=CIRCLE('',#667,3.494999999999E1); #673=CIRCLE('',#672,5.E-1); #678=CIRCLE('',#677,5.E-1); #683=CIRCLE('',#682,3.495E1); #696=CIRCLE('',#695,3.494999999999E1); #701=CIRCLE('',#700,1.E0); #706=CIRCLE('',#705,3.495000000001E1); #713=B_SPLINE_CURVE_WITH_KNOTS('',3,(#707,#708,#709,#710,#711,#712), .UNSPECIFIED.,.F.,.F.,(4,1,1,4),(0.E0,3.333333333333E-1,6.666666666667E-1,1.E0), .UNSPECIFIED.); #718=CIRCLE('',#717,3.E-1); #723=CIRCLE('',#722,2.07E1); #728=CIRCLE('',#727,3.E-1); #797=CIRCLE('',#796,2.02E1); #802=CIRCLE('',#801,2.E-1); #807=CIRCLE('',#806,2.E-1); #812=CIRCLE('',#811,2.E1); #825=CIRCLE('',#824,1.999999999999E1); #1597=B_SPLINE_CURVE_WITH_KNOTS('',3,(#826,#827,#828,#829,#830,#831,#832,#833, #834,#835,#836,#837,#838,#839,#840,#841,#842,#843,#844,#845,#846,#847,#848,#849, #850,#851,#852,#853,#854,#855,#856,#857,#858,#859,#860,#861,#862,#863,#864,#865, #866,#867,#868,#869,#870,#871,#872,#873,#874,#875,#876,#877,#878,#879,#880,#881, #882,#883,#884,#885,#886,#887,#888,#889,#890,#891,#892,#893,#894,#895,#896,#897, #898,#899,#900,#901,#902,#903,#904,#905,#906,#907,#908,#909,#910,#911,#912,#913, #914,#915,#916,#917,#918,#919,#920,#921,#922,#923,#924,#925,#926,#927,#928,#929, #930,#931,#932,#933,#934,#935,#936,#937,#938,#939,#940,#941,#942,#943,#944,#945, #946,#947,#948,#949,#950,#951,#952,#953,#954,#955,#956,#957,#958,#959,#960,#961, #962,#963,#964,#965,#966,#967,#968,#969,#970,#971,#972,#973,#974,#975,#976,#977, #978,#979,#980,#981,#982,#983,#984,#985,#986,#987,#988,#989,#990,#991,#992,#993, #994,#995,#996,#997,#998,#999,#1000,#1001,#1002,#1003,#1004,#1005,#1006,#1007, #1008,#1009,#1010,#1011,#1012,#1013,#1014,#1015,#1016,#1017,#1018,#1019,#1020, #1021,#1022,#1023,#1024,#1025,#1026,#1027,#1028,#1029,#1030,#1031,#1032,#1033, #1034,#1035,#1036,#1037,#1038,#1039,#1040,#1041,#1042,#1043,#1044,#1045,#1046, #1047,#1048,#1049,#1050,#1051,#1052,#1053,#1054,#1055,#1056,#1057,#1058,#1059, #1060,#1061,#1062,#1063,#1064,#1065,#1066,#1067,#1068,#1069,#1070,#1071,#1072, #1073,#1074,#1075,#1076,#1077,#1078,#1079,#1080,#1081,#1082,#1083,#1084,#1085, #1086,#1087,#1088,#1089,#1090,#1091,#1092,#1093,#1094,#1095,#1096,#1097,#1098, #1099,#1100,#1101,#1102,#1103,#1104,#1105,#1106,#1107,#1108,#1109,#1110,#1111, #1112,#1113,#1114,#1115,#1116,#1117,#1118,#1119,#1120,#1121,#1122,#1123,#1124, #1125,#1126,#1127,#1128,#1129,#1130,#1131,#1132,#1133,#1134,#1135,#1136,#1137, #1138,#1139,#1140,#1141,#1142,#1143,#1144,#1145,#1146,#1147,#1148,#1149,#1150, #1151,#1152,#1153,#1154,#1155,#1156,#1157,#1158,#1159,#1160,#1161,#1162,#1163, #1164,#1165,#1166,#1167,#1168,#1169,#1170,#1171,#1172,#1173,#1174,#1175,#1176, #1177,#1178,#1179,#1180,#1181,#1182,#1183,#1184,#1185,#1186,#1187,#1188,#1189, #1190,#1191,#1192,#1193,#1194,#1195,#1196,#1197,#1198,#1199,#1200,#1201,#1202, #1203,#1204,#1205,#1206,#1207,#1208,#1209,#1210,#1211,#1212,#1213,#1214,#1215, #1216,#1217,#1218,#1219,#1220,#1221,#1222,#1223,#1224,#1225,#1226,#1227,#1228, #1229,#1230,#1231,#1232,#1233,#1234,#1235,#1236,#1237,#1238,#1239,#1240,#1241, #1242,#1243,#1244,#1245,#1246,#1247,#1248,#1249,#1250,#1251,#1252,#1253,#1254, #1255,#1256,#1257,#1258,#1259,#1260,#1261,#1262,#1263,#1264,#1265,#1266,#1267, #1268,#1269,#1270,#1271,#1272,#1273,#1274,#1275,#1276,#1277,#1278,#1279,#1280, #1281,#1282,#1283,#1284,#1285,#1286,#1287,#1288,#1289,#1290,#1291,#1292,#1293, #1294,#1295,#1296,#1297,#1298,#1299,#1300,#1301,#1302,#1303,#1304,#1305,#1306, #1307,#1308,#1309,#1310,#1311,#1312,#1313,#1314,#1315,#1316,#1317,#1318,#1319, #1320,#1321,#1322,#1323,#1324,#1325,#1326,#1327,#1328,#1329,#1330,#1331,#1332, #1333,#1334,#1335,#1336,#1337,#1338,#1339,#1340,#1341,#1342,#1343,#1344,#1345, #1346,#1347,#1348,#1349,#1350,#1351,#1352,#1353,#1354,#1355,#1356,#1357,#1358, #1359,#1360,#1361,#1362,#1363,#1364,#1365,#1366,#1367,#1368,#1369,#1370,#1371, #1372,#1373,#1374,#1375,#1376,#1377,#1378,#1379,#1380,#1381,#1382,#1383,#1384, #1385,#1386,#1387,#1388,#1389,#1390,#1391,#1392,#1393,#1394,#1395,#1396,#1397, #1398,#1399,#1400,#1401,#1402,#1403,#1404,#1405,#1406,#1407,#1408,#1409,#1410, #1411,#1412,#1413,#1414,#1415,#1416,#1417,#1418,#1419,#1420,#1421,#1422,#1423, #1424,#1425,#1426,#1427,#1428,#1429,#1430,#1431,#1432,#1433,#1434,#1435,#1436, #1437,#1438,#1439,#1440,#1441,#1442,#1443,#1444,#1445,#1446,#1447,#1448,#1449, #1450,#1451,#1452,#1453,#1454,#1455,#1456,#1457,#1458,#1459,#1460,#1461,#1462, #1463,#1464,#1465,#1466,#1467,#1468,#1469,#1470,#1471,#1472,#1473,#1474,#1475, #1476,#1477,#1478,#1479,#1480,#1481,#1482,#1483,#1484,#1485,#1486,#1487,#1488, #1489,#1490,#1491,#1492,#1493,#1494,#1495,#1496,#1497,#1498,#1499,#1500,#1501, #1502,#1503,#1504,#1505,#1506,#1507,#1508,#1509,#1510,#1511,#1512,#1513,#1514, #1515,#1516,#1517,#1518,#1519,#1520,#1521,#1522,#1523,#1524,#1525,#1526,#1527, #1528,#1529,#1530,#1531,#1532,#1533,#1534,#1535,#1536,#1537,#1538,#1539,#1540, #1541,#1542,#1543,#1544,#1545,#1546,#1547,#1548,#1549,#1550,#1551,#1552,#1553, #1554,#1555,#1556,#1557,#1558,#1559,#1560,#1561,#1562,#1563,#1564,#1565,#1566, #1567,#1568,#1569,#1570,#1571,#1572,#1573,#1574,#1575,#1576,#1577,#1578,#1579, #1580,#1581,#1582,#1583,#1584,#1585,#1586,#1587,#1588,#1589,#1590,#1591,#1592, #1593,#1594,#1595,#1596),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, 1.302083333333E-3,2.604166666667E-3,3.90625E-3,5.208333333333E-3, 6.510416666667E-3,7.8125E-3,9.114583333333E-3,1.041666666667E-2,1.171875E-2, 1.302083333333E-2,1.432291666667E-2,1.5625E-2,1.692708333333E-2, 1.822916666667E-2,1.953125E-2,2.083333333333E-2,2.213541666667E-2,2.34375E-2, 2.473958333333E-2,2.604166666667E-2,2.734375E-2,2.864583333333E-2, 2.994791666667E-2,3.125E-2,3.255208333333E-2,3.385416666667E-2,3.515625E-2, 3.645833333333E-2,3.776041666667E-2,3.90625E-2,4.036458333333E-2, 4.166666666667E-2,4.296875E-2,4.427083333333E-2,4.557291666667E-2,4.6875E-2, 4.817708333333E-2,4.947916666667E-2,5.078125E-2,5.208333333333E-2, 5.338541666667E-2,5.46875E-2,5.598958333333E-2,5.729166666667E-2,5.859375E-2, 5.989583333333E-2,6.119791666667E-2,6.25E-2,6.380208333333E-2,6.510416666667E-2, 6.640625E-2,6.770833333333E-2,6.901041666667E-2,7.03125E-2,7.161458333333E-2, 7.291666666667E-2,7.421875E-2,7.552083333333E-2,7.682291666667E-2,7.8125E-2, 7.942708333333E-2,8.072916666667E-2,8.203125E-2,8.333333333333E-2, 8.463541666667E-2,8.59375E-2,8.723958333333E-2,8.854166666667E-2,8.984375E-2, 9.114583333333E-2,9.244791666667E-2,9.375E-2,9.505208333333E-2, 9.635416666667E-2,9.765625E-2,9.895833333333E-2,1.002604166667E-1,1.015625E-1, 1.028645833333E-1,1.041666666667E-1,1.0546875E-1,1.067708333333E-1, 1.080729166667E-1,1.09375E-1,1.106770833333E-1,1.119791666667E-1,1.1328125E-1, 1.145833333333E-1,1.158854166667E-1,1.171875E-1,1.184895833333E-1, 1.197916666667E-1,1.2109375E-1,1.223958333333E-1,1.236979166667E-1,1.25E-1, 1.263020833333E-1,1.276041666667E-1,1.2890625E-1,1.302083333333E-1, 1.315104166667E-1,1.328125E-1,1.341145833333E-1,1.354166666667E-1,1.3671875E-1, 1.380208333333E-1,1.393229166667E-1,1.40625E-1,1.419270833333E-1, 1.432291666667E-1,1.4453125E-1,1.458333333333E-1,1.471354166667E-1,1.484375E-1, 1.497395833333E-1,1.510416666667E-1,1.5234375E-1,1.536458333333E-1, 1.549479166667E-1,1.5625E-1,1.575520833333E-1,1.588541666667E-1,1.6015625E-1, 1.614583333333E-1,1.627604166667E-1,1.640625E-1,1.653645833333E-1, 1.666666666667E-1,1.6796875E-1,1.692708333333E-1,1.705729166667E-1,1.71875E-1, 1.731770833333E-1,1.744791666667E-1,1.7578125E-1,1.770833333333E-1, 1.783854166667E-1,1.796875E-1,1.809895833333E-1,1.822916666667E-1,1.8359375E-1, 1.848958333333E-1,1.861979166667E-1,1.875E-1,1.888020833333E-1, 1.901041666667E-1,1.9140625E-1,1.927083333333E-1,1.940104166667E-1,1.953125E-1, 1.966145833333E-1,1.979166666667E-1,1.9921875E-1,2.005208333333E-1, 2.018229166667E-1,2.03125E-1,2.044270833333E-1,2.057291666667E-1,2.0703125E-1, 2.083333333333E-1,2.096354166667E-1,2.109375E-1,2.122395833333E-1, 2.135416666667E-1,2.1484375E-1,2.161458333333E-1,2.174479166667E-1,2.1875E-1, 2.200520833333E-1,2.213541666667E-1,2.2265625E-1,2.239583333333E-1, 2.252604166667E-1,2.265625E-1,2.278645833333E-1,2.291666666667E-1,2.3046875E-1, 2.317708333333E-1,2.330729166667E-1,2.34375E-1,2.356770833333E-1, 2.369791666667E-1,2.3828125E-1,2.395833333333E-1,2.408854166667E-1,2.421875E-1, 2.434895833333E-1,2.447916666667E-1,2.4609375E-1,2.473958333333E-1, 2.486979166667E-1,2.5E-1,2.513020833333E-1,2.526041666667E-1,2.5390625E-1, 2.552083333333E-1,2.565104166667E-1,2.578125E-1,2.591145833333E-1, 2.604166666667E-1,2.6171875E-1,2.630208333333E-1,2.643229166667E-1,2.65625E-1, 2.669270833333E-1,2.682291666667E-1,2.6953125E-1,2.708333333333E-1, 2.721354166667E-1,2.734375E-1,2.747395833333E-1,2.760416666667E-1,2.7734375E-1, 2.786458333333E-1,2.799479166667E-1,2.8125E-1,2.825520833333E-1, 2.838541666667E-1,2.8515625E-1,2.864583333333E-1,2.877604166667E-1,2.890625E-1, 2.903645833333E-1,2.916666666667E-1,2.9296875E-1,2.942708333333E-1, 2.955729166667E-1,2.96875E-1,2.981770833333E-1,2.994791666667E-1,3.0078125E-1, 3.020833333333E-1,3.033854166667E-1,3.046875E-1,3.059895833333E-1, 3.072916666667E-1,3.0859375E-1,3.098958333333E-1,3.111979166667E-1,3.125E-1, 3.138020833333E-1,3.151041666667E-1,3.1640625E-1,3.177083333333E-1, 3.190104166667E-1,3.203125E-1,3.216145833333E-1,3.229166666667E-1,3.2421875E-1, 3.255208333333E-1,3.268229166667E-1,3.28125E-1,3.294270833333E-1, 3.307291666667E-1,3.3203125E-1,3.333333333333E-1,3.346354166667E-1,3.359375E-1, 3.372395833333E-1,3.385416666667E-1,3.3984375E-1,3.411458333333E-1, 3.424479166667E-1,3.4375E-1,3.450520833333E-1,3.463541666667E-1,3.4765625E-1, 3.489583333333E-1,3.502604166667E-1,3.515625E-1,3.528645833333E-1, 3.541666666667E-1,3.5546875E-1,3.567708333333E-1,3.580729166667E-1,3.59375E-1, 3.606770833333E-1,3.619791666667E-1,3.6328125E-1,3.645833333333E-1, 3.658854166667E-1,3.671875E-1,3.684895833333E-1,3.697916666667E-1,3.7109375E-1, 3.723958333333E-1,3.736979166667E-1,3.75E-1,3.763020833333E-1,3.776041666667E-1, 3.7890625E-1,3.802083333333E-1,3.815104166667E-1,3.828125E-1,3.841145833333E-1, 3.854166666667E-1,3.8671875E-1,3.880208333333E-1,3.893229166667E-1,3.90625E-1, 3.919270833333E-1,3.932291666667E-1,3.9453125E-1,3.958333333333E-1, 3.971354166667E-1,3.984375E-1,3.997395833333E-1,4.010416666667E-1,4.0234375E-1, 4.036458333333E-1,4.049479166667E-1,4.0625E-1,4.075520833333E-1, 4.088541666667E-1,4.1015625E-1,4.114583333333E-1,4.127604166667E-1,4.140625E-1, 4.153645833333E-1,4.166666666667E-1,4.1796875E-1,4.192708333333E-1, 4.205729166667E-1,4.21875E-1,4.231770833333E-1,4.244791666667E-1,4.2578125E-1, 4.270833333333E-1,4.283854166667E-1,4.296875E-1,4.309895833333E-1, 4.322916666667E-1,4.3359375E-1,4.348958333333E-1,4.361979166667E-1,4.375E-1, 4.388020833333E-1,4.401041666667E-1,4.4140625E-1,4.427083333333E-1, 4.440104166667E-1,4.453125E-1,4.466145833333E-1,4.479166666667E-1,4.4921875E-1, 4.505208333333E-1,4.518229166667E-1,4.53125E-1,4.544270833333E-1, 4.557291666667E-1,4.5703125E-1,4.583333333333E-1,4.596354166667E-1,4.609375E-1, 4.622395833333E-1,4.635416666667E-1,4.6484375E-1,4.661458333333E-1, 4.674479166667E-1,4.6875E-1,4.700520833333E-1,4.713541666667E-1,4.7265625E-1, 4.739583333333E-1,4.752604166667E-1,4.765625E-1,4.778645833333E-1, 4.791666666667E-1,4.8046875E-1,4.817708333333E-1,4.830729166667E-1,4.84375E-1, 4.856770833333E-1,4.869791666667E-1,4.8828125E-1,4.895833333333E-1, 4.908854166667E-1,4.921875E-1,4.934895833333E-1,4.947916666667E-1,4.9609375E-1, 4.973958333333E-1,4.986979166667E-1,5.E-1,5.013020833333E-1,5.026041666667E-1, 5.0390625E-1,5.052083333333E-1,5.065104166667E-1,5.078125E-1,5.091145833333E-1, 5.104166666667E-1,5.1171875E-1,5.130208333333E-1,5.143229166667E-1,5.15625E-1, 5.169270833333E-1,5.182291666667E-1,5.1953125E-1,5.208333333333E-1, 5.221354166667E-1,5.234375E-1,5.247395833333E-1,5.260416666667E-1,5.2734375E-1, 5.286458333333E-1,5.299479166667E-1,5.3125E-1,5.325520833333E-1, 5.338541666667E-1,5.3515625E-1,5.364583333333E-1,5.377604166667E-1,5.390625E-1, 5.403645833333E-1,5.416666666667E-1,5.4296875E-1,5.442708333333E-1, 5.455729166667E-1,5.46875E-1,5.481770833333E-1,5.494791666667E-1,5.5078125E-1, 5.520833333333E-1,5.533854166667E-1,5.546875E-1,5.559895833333E-1, 5.572916666667E-1,5.5859375E-1,5.598958333333E-1,5.611979166667E-1,5.625E-1, 5.638020833333E-1,5.651041666667E-1,5.6640625E-1,5.677083333333E-1, 5.690104166667E-1,5.703125E-1,5.716145833333E-1,5.729166666667E-1,5.7421875E-1, 5.755208333333E-1,5.768229166667E-1,5.78125E-1,5.794270833333E-1, 5.807291666667E-1,5.8203125E-1,5.833333333333E-1,5.846354166667E-1,5.859375E-1, 5.872395833333E-1,5.885416666667E-1,5.8984375E-1,5.911458333333E-1, 5.924479166667E-1,5.9375E-1,5.950520833333E-1,5.963541666667E-1,5.9765625E-1, 5.989583333333E-1,6.002604166667E-1,6.015625E-1,6.028645833333E-1, 6.041666666667E-1,6.0546875E-1,6.067708333333E-1,6.080729166667E-1,6.09375E-1, 6.106770833333E-1,6.119791666667E-1,6.1328125E-1,6.145833333333E-1, 6.158854166667E-1,6.171875E-1,6.184895833333E-1,6.197916666667E-1,6.2109375E-1, 6.223958333333E-1,6.236979166667E-1,6.25E-1,6.263020833333E-1,6.276041666667E-1, 6.2890625E-1,6.302083333333E-1,6.315104166667E-1,6.328125E-1,6.341145833333E-1, 6.354166666667E-1,6.3671875E-1,6.380208333333E-1,6.393229166667E-1,6.40625E-1, 6.419270833333E-1,6.432291666667E-1,6.4453125E-1,6.458333333333E-1, 6.471354166667E-1,6.484375E-1,6.497395833333E-1,6.510416666667E-1,6.5234375E-1, 6.536458333333E-1,6.549479166667E-1,6.5625E-1,6.575520833333E-1, 6.588541666667E-1,6.6015625E-1,6.614583333333E-1,6.627604166667E-1,6.640625E-1, 6.653645833333E-1,6.666666666667E-1,6.6796875E-1,6.692708333333E-1, 6.705729166667E-1,6.71875E-1,6.731770833333E-1,6.744791666667E-1,6.7578125E-1, 6.770833333333E-1,6.783854166667E-1,6.796875E-1,6.809895833333E-1, 6.822916666667E-1,6.8359375E-1,6.848958333333E-1,6.861979166667E-1,6.875E-1, 6.888020833333E-1,6.901041666667E-1,6.9140625E-1,6.927083333333E-1, 6.940104166667E-1,6.953125E-1,6.966145833333E-1,6.979166666667E-1,6.9921875E-1, 7.005208333333E-1,7.018229166667E-1,7.03125E-1,7.044270833333E-1, 7.057291666667E-1,7.0703125E-1,7.083333333333E-1,7.096354166667E-1,7.109375E-1, 7.122395833333E-1,7.135416666667E-1,7.1484375E-1,7.161458333333E-1, 7.174479166667E-1,7.1875E-1,7.200520833333E-1,7.213541666667E-1,7.2265625E-1, 7.239583333333E-1,7.252604166667E-1,7.265625E-1,7.278645833333E-1, 7.291666666667E-1,7.3046875E-1,7.317708333333E-1,7.330729166667E-1,7.34375E-1, 7.356770833333E-1,7.369791666667E-1,7.3828125E-1,7.395833333333E-1, 7.408854166667E-1,7.421875E-1,7.434895833333E-1,7.447916666667E-1,7.4609375E-1, 7.473958333333E-1,7.486979166667E-1,7.5E-1,7.513020833333E-1,7.526041666667E-1, 7.5390625E-1,7.552083333333E-1,7.565104166667E-1,7.578125E-1,7.591145833333E-1, 7.604166666667E-1,7.6171875E-1,7.630208333333E-1,7.643229166667E-1,7.65625E-1, 7.669270833333E-1,7.682291666667E-1,7.6953125E-1,7.708333333333E-1, 7.721354166667E-1,7.734375E-1,7.747395833333E-1,7.760416666667E-1,7.7734375E-1, 7.786458333333E-1,7.799479166667E-1,7.8125E-1,7.825520833333E-1, 7.838541666667E-1,7.8515625E-1,7.864583333333E-1,7.877604166667E-1,7.890625E-1, 7.903645833333E-1,7.916666666667E-1,7.9296875E-1,7.942708333333E-1, 7.955729166667E-1,7.96875E-1,7.981770833333E-1,7.994791666667E-1,8.0078125E-1, 8.020833333333E-1,8.033854166667E-1,8.046875E-1,8.059895833333E-1, 8.072916666667E-1,8.0859375E-1,8.098958333333E-1,8.111979166667E-1,8.125E-1, 8.138020833333E-1,8.151041666667E-1,8.1640625E-1,8.177083333333E-1, 8.190104166667E-1,8.203125E-1,8.216145833333E-1,8.229166666667E-1,8.2421875E-1, 8.255208333333E-1,8.268229166667E-1,8.28125E-1,8.294270833333E-1, 8.307291666667E-1,8.3203125E-1,8.333333333333E-1,8.346354166667E-1,8.359375E-1, 8.372395833333E-1,8.385416666667E-1,8.3984375E-1,8.411458333333E-1, 8.424479166667E-1,8.4375E-1,8.450520833333E-1,8.463541666667E-1,8.4765625E-1, 8.489583333333E-1,8.502604166667E-1,8.515625E-1,8.528645833333E-1, 8.541666666667E-1,8.5546875E-1,8.567708333333E-1,8.580729166667E-1,8.59375E-1, 8.606770833333E-1,8.619791666667E-1,8.6328125E-1,8.645833333333E-1, 8.658854166667E-1,8.671875E-1,8.684895833333E-1,8.697916666667E-1,8.7109375E-1, 8.723958333333E-1,8.736979166667E-1,8.75E-1,8.763020833333E-1,8.776041666667E-1, 8.7890625E-1,8.802083333333E-1,8.815104166667E-1,8.828125E-1,8.841145833333E-1, 8.854166666667E-1,8.8671875E-1,8.880208333333E-1,8.893229166667E-1,8.90625E-1, 8.919270833333E-1,8.932291666667E-1,8.9453125E-1,8.958333333333E-1, 8.971354166667E-1,8.984375E-1,8.997395833333E-1,9.010416666667E-1,9.0234375E-1, 9.036458333333E-1,9.049479166667E-1,9.0625E-1,9.075520833333E-1, 9.088541666667E-1,9.1015625E-1,9.114583333333E-1,9.127604166667E-1,9.140625E-1, 9.153645833333E-1,9.166666666667E-1,9.1796875E-1,9.192708333333E-1, 9.205729166667E-1,9.21875E-1,9.231770833333E-1,9.244791666667E-1,9.2578125E-1, 9.270833333333E-1,9.283854166667E-1,9.296875E-1,9.309895833333E-1, 9.322916666667E-1,9.3359375E-1,9.348958333333E-1,9.361979166667E-1,9.375E-1, 9.388020833333E-1,9.401041666667E-1,9.4140625E-1,9.427083333333E-1, 9.440104166667E-1,9.453125E-1,9.466145833333E-1,9.479166666667E-1,9.4921875E-1, 9.505208333333E-1,9.518229166667E-1,9.53125E-1,9.544270833333E-1, 9.557291666667E-1,9.5703125E-1,9.583333333333E-1,9.596354166667E-1,9.609375E-1, 9.622395833333E-1,9.635416666667E-1,9.6484375E-1,9.661458333333E-1, 9.674479166667E-1,9.6875E-1,9.700520833333E-1,9.713541666667E-1,9.7265625E-1, 9.739583333333E-1,9.752604166667E-1,9.765625E-1,9.778645833333E-1, 9.791666666667E-1,9.8046875E-1,9.817708333333E-1,9.830729166667E-1,9.84375E-1, 9.856770833333E-1,9.869791666667E-1,9.8828125E-1,9.895833333333E-1, 9.908854166667E-1,9.921875E-1,9.934895833333E-1,9.947916666667E-1,9.9609375E-1, 9.973958333333E-1,9.986979166667E-1,1.E0),.UNSPECIFIED.); #1649=B_SPLINE_CURVE_WITH_KNOTS('',3,(#1598,#1599,#1600,#1601,#1602,#1603,#1604, #1605,#1606,#1607,#1608,#1609,#1610,#1611,#1612,#1613,#1614,#1615,#1616,#1617, #1618,#1619,#1620,#1621,#1622,#1623,#1624,#1625,#1626,#1627,#1628,#1629,#1630, #1631,#1632,#1633,#1634,#1635,#1636,#1637,#1638,#1639,#1640,#1641,#1642,#1643, #1644,#1645,#1646,#1647,#1648),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.E0, 2.083333333333E-2,4.166666666667E-2,6.25E-2,8.333333333333E-2,1.041666666667E-1, 1.25E-1,1.458333333333E-1,1.666666666667E-1,1.875E-1,2.083333333333E-1, 2.291666666667E-1,2.5E-1,2.708333333333E-1,2.916666666667E-1,3.125E-1, 3.333333333333E-1,3.541666666667E-1,3.75E-1,3.958333333333E-1,4.166666666667E-1, 4.375E-1,4.583333333333E-1,4.791666666667E-1,5.E-1,5.208333333333E-1, 5.416666666667E-1,5.625E-1,5.833333333333E-1,6.041666666667E-1,6.25E-1, 6.458333333333E-1,6.666666666667E-1,6.875E-1,7.083333333333E-1, 7.291666666667E-1,7.5E-1,7.708333333333E-1,7.916666666667E-1,8.125E-1, 8.333333333333E-1,8.541666666667E-1,8.75E-1,8.958333333333E-1,9.166666666667E-1, 9.375E-1,9.583333333333E-1,9.791666666667E-1,1.E0),.UNSPECIFIED.); #1654=CIRCLE('',#1653,2.029662013351E1); #1659=CIRCLE('',#1658,4.E-1); #1664=CIRCLE('',#1663,2.059853208919E1); #1669=CIRCLE('',#1668,4.E-1); #1854=EDGE_CURVE('',#1672,#1673,#22,.T.); #1856=EDGE_CURVE('',#1673,#1675,#27,.T.); #1858=EDGE_CURVE('',#1677,#1675,#129,.T.); #1860=EDGE_CURVE('',#1677,#1710,#32,.T.); #1862=EDGE_CURVE('',#1710,#1679,#37,.T.); #1864=EDGE_CURVE('',#1672,#1679,#125,.T.); #1868=EDGE_CURVE('',#1682,#1683,#42,.T.); #1870=EDGE_CURVE('',#1683,#1682,#47,.T.); #1874=EDGE_CURVE('',#1686,#1687,#52,.T.); #1876=EDGE_CURVE('',#1687,#1686,#57,.T.); #1880=EDGE_CURVE('',#1690,#1691,#62,.T.); #1882=EDGE_CURVE('',#1691,#1690,#67,.T.); #1886=EDGE_CURVE('',#1694,#1695,#72,.T.); #1888=EDGE_CURVE('',#1695,#1694,#77,.T.); #1892=EDGE_CURVE('',#1698,#1699,#82,.T.); #1894=EDGE_CURVE('',#1699,#1698,#87,.T.); #1898=EDGE_CURVE('',#1702,#1703,#92,.T.); #1900=EDGE_CURVE('',#1703,#1702,#97,.T.); #1904=ADVANCED_FACE('',(#1867,#1873,#1879,#1885,#1891,#1897,#1903),#1853,.T.); #1911=EDGE_CURVE('',#1672,#1706,#121,.T.); #1913=EDGE_CURVE('',#1812,#1706,#713,.T.); #1915=EDGE_CURVE('',#1705,#1812,#683,.T.); #1917=EDGE_CURVE('',#1673,#1705,#701,.T.); #1921=ADVANCED_FACE('',(#1920),#1909,.T.); #1929=EDGE_CURVE('',#1707,#1679,#183,.T.); #1931=EDGE_CURVE('',#1713,#1707,#259,.T.); #1933=EDGE_CURVE('',#1708,#1713,#229,.T.); #1935=EDGE_CURVE('',#1677,#1708,#212,.T.); #1938=EDGE_CURVE('',#1675,#1706,#154,.T.); #1942=ADVANCED_FACE('',(#1941),#1926,.F.); #1948=EDGE_CURVE('',#1712,#1708,#217,.T.); #1950=EDGE_CURVE('',#1719,#1712,#239,.T.); #1952=EDGE_CURVE('',#1707,#1719,#234,.T.); #1960=ADVANCED_FACE('',(#1959),#1947,.T.); #1968=EDGE_CURVE('',#1715,#1713,#247,.T.); #1970=EDGE_CURVE('',#1717,#1715,#279,.T.); #1972=EDGE_CURVE('',#1712,#1717,#243,.T.); #1976=ADVANCED_FACE('',(#1975),#1965,.F.); #1985=EDGE_CURVE('',#1715,#1717,#264,.T.); #1991=ADVANCED_FACE('',(#1990),#1981,.F.); #1997=EDGE_CURVE('',#1715,#1721,#269,.T.); #2000=EDGE_CURVE('',#1723,#1717,#274,.T.); #2002=EDGE_CURVE('',#1721,#1723,#293,.T.); #2006=ADVANCED_FACE('',(#2005),#1996,.F.); #2013=EDGE_CURVE('',#1723,#1721,#284,.T.); #2019=ADVANCED_FACE('',(#2018),#2011,.F.); #2025=EDGE_CURVE('',#1725,#1721,#288,.T.); #2027=EDGE_CURVE('',#1727,#1725,#317,.T.); #2029=EDGE_CURVE('',#1723,#1727,#297,.T.); #2034=ADVANCED_FACE('',(#2033),#2024,.T.); #2043=EDGE_CURVE('',#1725,#1727,#302,.T.); #2047=ADVANCED_FACE('',(#2046),#2039,.T.); #2053=EDGE_CURVE('',#1725,#1729,#307,.T.); #2056=EDGE_CURVE('',#1731,#1727,#312,.T.); #2058=EDGE_CURVE('',#1729,#1731,#331,.T.); #2062=ADVANCED_FACE('',(#2061),#2052,.T.); #2069=EDGE_CURVE('',#1731,#1729,#322,.T.); #2075=ADVANCED_FACE('',(#2074),#2067,.T.); #2081=EDGE_CURVE('',#1733,#1729,#326,.T.); #2083=EDGE_CURVE('',#1735,#1733,#1664,.T.); #2085=EDGE_CURVE('',#1731,#1735,#335,.T.); #2090=ADVANCED_FACE('',(#2089),#2080,.F.); #2099=EDGE_CURVE('',#1733,#1735,#340,.T.); #2103=ADVANCED_FACE('',(#2102),#2095,.F.); #2109=EDGE_CURVE('',#1738,#1739,#345,.T.); #2111=EDGE_CURVE('',#1733,#1738,#1669,.T.); #2114=EDGE_CURVE('',#1739,#1735,#1659,.T.); #2118=ADVANCED_FACE('',(#2117),#2108,.F.); #2124=EDGE_CURVE('',#1746,#1740,#350,.T.); #2126=EDGE_CURVE('',#1738,#1746,#1649,.T.); #2129=EDGE_CURVE('',#1740,#1739,#1597,.T.); #2133=ADVANCED_FACE('',(#2132),#2123,.T.); #2139=EDGE_CURVE('',#1743,#1744,#360,.T.); #2141=EDGE_CURVE('',#1746,#1743,#820,.T.); #2144=EDGE_CURVE('',#1744,#1740,#816,.T.); #2148=ADVANCED_FACE('',(#2147),#2138,.F.); #2154=EDGE_CURVE('',#1843,#1844,#355,.T.); #2156=EDGE_CURVE('',#1743,#1844,#807,.T.); #2159=EDGE_CURVE('',#1843,#1744,#802,.T.); #2163=ADVANCED_FACE('',(#2162),#2153,.T.); #2170=EDGE_CURVE('',#1844,#1843,#797,.T.); #2174=EDGE_CURVE('',#1839,#1840,#365,.T.); #2176=EDGE_CURVE('',#1840,#1839,#370,.T.); #2180=ADVANCED_FACE('',(#2173,#2179),#2168,.T.); #2186=EDGE_CURVE('',#1835,#1836,#385,.T.); #2188=EDGE_CURVE('',#1840,#1835,#792,.T.); #2191=EDGE_CURVE('',#1836,#1839,#788,.T.); #2195=ADVANCED_FACE('',(#2194),#2185,.F.); #2201=EDGE_CURVE('',#1831,#1832,#375,.T.); #2203=EDGE_CURVE('',#1832,#1831,#380,.T.); #2208=EDGE_CURVE('',#1836,#1835,#390,.T.); #2212=ADVANCED_FACE('',(#2206,#2211),#2200,.F.); #2218=EDGE_CURVE('',#1847,#1848,#405,.T.); #2220=EDGE_CURVE('',#1832,#1848,#784,.T.); #2223=EDGE_CURVE('',#1847,#1831,#780,.T.); #2227=ADVANCED_FACE('',(#2226),#2217,.T.); #2233=EDGE_CURVE('',#1749,#1750,#395,.T.); #2235=EDGE_CURVE('',#1750,#1749,#400,.T.); #2240=EDGE_CURVE('',#1848,#1847,#410,.T.); #2244=ADVANCED_FACE('',(#2238,#2243),#2232,.F.); #2251=EDGE_CURVE('',#1754,#1749,#420,.T.); #2253=EDGE_CURVE('',#1752,#1754,#452,.T.); #2255=EDGE_CURVE('',#1750,#1752,#415,.T.); #2259=ADVANCED_FACE('',(#2258),#2249,.F.); #2267=EDGE_CURVE('',#1754,#1752,#425,.T.); #2272=ADVANCED_FACE('',(#2271),#2264,.F.); #2278=EDGE_CURVE('',#1756,#1758,#430,.T.); #2280=EDGE_CURVE('',#1754,#1756,#456,.T.); #2283=EDGE_CURVE('',#1758,#1752,#447,.T.); #2287=ADVANCED_FACE('',(#2286),#2277,.F.); #2294=EDGE_CURVE('',#1762,#1758,#438,.T.); #2296=EDGE_CURVE('',#1761,#1762,#723,.T.); #2298=EDGE_CURVE('',#1756,#1761,#434,.T.); #2302=ADVANCED_FACE('',(#2301),#2292,.F.); #2308=EDGE_CURVE('',#1756,#1758,#443,.T.); #2311=EDGE_CURVE('',#1762,#1761,#461,.T.); #2316=ADVANCED_FACE('',(#2315),#2307,.F.); #2328=ADVANCED_FACE('',(#2327),#2321,.F.); #2334=EDGE_CURVE('',#1766,#1764,#486,.T.); #2336=EDGE_CURVE('',#1762,#1766,#728,.T.); #2339=EDGE_CURVE('',#1764,#1761,#718,.T.); #2343=ADVANCED_FACE('',(#2342),#2333,.T.); #2349=EDGE_CURVE('',#1769,#1770,#466,.T.); #2351=EDGE_CURVE('',#1770,#1772,#471,.T.); #2353=EDGE_CURVE('',#1772,#1769,#476,.T.); #2357=EDGE_CURVE('',#1764,#1766,#481,.T.); #2362=EDGE_CURVE('',#1775,#1776,#491,.T.); #2364=EDGE_CURVE('',#1776,#1775,#496,.T.); #2368=EDGE_CURVE('',#1779,#1780,#501,.T.); #2370=EDGE_CURVE('',#1780,#1779,#506,.T.); #2374=EDGE_CURVE('',#1783,#1784,#511,.T.); #2376=EDGE_CURVE('',#1784,#1783,#516,.T.); #2380=EDGE_CURVE('',#1787,#1788,#521,.T.); #2382=EDGE_CURVE('',#1788,#1787,#526,.T.); #2386=EDGE_CURVE('',#1791,#1792,#531,.T.); #2388=EDGE_CURVE('',#1792,#1791,#536,.T.); #2392=EDGE_CURVE('',#1795,#1796,#541,.T.); #2394=EDGE_CURVE('',#1796,#1795,#546,.T.); #2398=ADVANCED_FACE('',(#2356,#2361,#2367,#2373,#2379,#2385,#2391,#2397),#2348, .F.); #2405=EDGE_CURVE('',#1800,#1769,#554,.T.); #2407=EDGE_CURVE('',#1798,#1800,#593,.T.); #2409=EDGE_CURVE('',#1770,#1798,#550,.T.); #2413=ADVANCED_FACE('',(#2412),#2403,.T.); #2422=EDGE_CURVE('',#1806,#1798,#564,.T.); #2424=EDGE_CURVE('',#1800,#1806,#559,.T.); #2429=ADVANCED_FACE('',(#2428),#2418,.T.); #2435=EDGE_CURVE('',#1803,#1804,#569,.T.); #2437=EDGE_CURVE('',#1800,#1803,#597,.T.); #2441=EDGE_CURVE('',#1804,#1798,#588,.T.); #2445=ADVANCED_FACE('',(#2444),#2434,.T.); #2452=EDGE_CURVE('',#1816,#1804,#579,.T.); #2454=EDGE_CURVE('',#1814,#1816,#620,.T.); #2456=EDGE_CURVE('',#1803,#1814,#574,.T.); #2460=ADVANCED_FACE('',(#2459),#2450,.T.); #2466=EDGE_CURVE('',#1803,#1804,#584,.T.); #2469=EDGE_CURVE('',#1816,#1814,#615,.T.); #2474=ADVANCED_FACE('',(#2473),#2465,.T.); #2486=ADVANCED_FACE('',(#2485),#2479,.T.); #2492=EDGE_CURVE('',#1814,#1826,#601,.T.); #2494=EDGE_CURVE('',#1826,#1828,#606,.T.); #2496=EDGE_CURVE('',#1828,#1816,#610,.T.); #2501=ADVANCED_FACE('',(#2500),#2491,.T.); #2510=EDGE_CURVE('',#1828,#1826,#625,.T.); #2514=ADVANCED_FACE('',(#2513),#2506,.T.); #2520=EDGE_CURVE('',#1824,#1826,#630,.T.); #2523=EDGE_CURVE('',#1828,#1823,#635,.T.); #2525=EDGE_CURVE('',#1823,#1824,#640,.T.); #2529=ADVANCED_FACE('',(#2528),#2519,.F.); #2536=EDGE_CURVE('',#1824,#1823,#645,.T.); #2542=ADVANCED_FACE('',(#2541),#2534,.F.); #2548=EDGE_CURVE('',#1824,#1820,#649,.T.); #2550=EDGE_CURVE('',#1820,#1818,#654,.T.); #2552=EDGE_CURVE('',#1818,#1823,#658,.T.); #2557=ADVANCED_FACE('',(#2556),#2547,.T.); #2566=EDGE_CURVE('',#1818,#1820,#663,.T.); #2570=ADVANCED_FACE('',(#2569),#2562,.T.); #2576=EDGE_CURVE('',#1809,#1810,#668,.T.); #2578=EDGE_CURVE('',#1810,#1820,#673,.T.); #2581=EDGE_CURVE('',#1818,#1809,#678,.T.); #2585=ADVANCED_FACE('',(#2584),#2575,.T.); #2592=EDGE_CURVE('',#1705,#1809,#691,.T.); #2595=EDGE_CURVE('',#1810,#1812,#687,.T.); #2599=ADVANCED_FACE('',(#2598),#2590,.T.); #2605=EDGE_CURVE('',#1810,#1809,#696,.T.); #2608=EDGE_CURVE('',#1705,#1812,#706,.T.); #2613=ADVANCED_FACE('',(#2612),#2604,.T.); #2625=ADVANCED_FACE('',(#2624),#2618,.T.); #2638=ADVANCED_FACE('',(#2637),#2630,.T.); #2650=ADVANCED_FACE('',(#2649),#2643,.T.); #2657=EDGE_CURVE('',#1776,#1682,#736,.T.); #2660=EDGE_CURVE('',#1683,#1775,#732,.T.); #2664=ADVANCED_FACE('',(#2663),#2655,.F.); #2676=ADVANCED_FACE('',(#2675),#2669,.F.); #2683=EDGE_CURVE('',#1780,#1686,#744,.T.); #2686=EDGE_CURVE('',#1687,#1779,#740,.T.); #2690=ADVANCED_FACE('',(#2689),#2681,.F.); #2702=ADVANCED_FACE('',(#2701),#2695,.F.); #2709=EDGE_CURVE('',#1784,#1690,#752,.T.); #2712=EDGE_CURVE('',#1691,#1783,#748,.T.); #2716=ADVANCED_FACE('',(#2715),#2707,.F.); #2728=ADVANCED_FACE('',(#2727),#2721,.F.); #2735=EDGE_CURVE('',#1788,#1694,#760,.T.); #2738=EDGE_CURVE('',#1695,#1787,#756,.T.); #2742=ADVANCED_FACE('',(#2741),#2733,.F.); #2754=ADVANCED_FACE('',(#2753),#2747,.F.); #2761=EDGE_CURVE('',#1792,#1698,#768,.T.); #2764=EDGE_CURVE('',#1699,#1791,#764,.T.); #2768=ADVANCED_FACE('',(#2767),#2759,.F.); #2780=ADVANCED_FACE('',(#2779),#2773,.F.); #2787=EDGE_CURVE('',#1796,#1702,#776,.T.); #2790=EDGE_CURVE('',#1703,#1795,#772,.T.); #2794=ADVANCED_FACE('',(#2793),#2785,.F.); #2806=ADVANCED_FACE('',(#2805),#2799,.F.); #2818=ADVANCED_FACE('',(#2817),#2811,.T.); #2830=ADVANCED_FACE('',(#2829),#2823,.F.); #2838=EDGE_CURVE('',#1743,#1744,#812,.T.); #2843=ADVANCED_FACE('',(#2842),#2835,.T.); #2851=EDGE_CURVE('',#1746,#1740,#825,.T.); #2856=ADVANCED_FACE('',(#2855),#2848,.F.); #2864=EDGE_CURVE('',#1738,#1739,#1654,.T.); #2869=ADVANCED_FACE('',(#2868),#2861,.T.); #2881=ADVANCED_FACE('',(#2880),#2874,.F.); #2884=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); #2885=(NAMED_UNIT(*)PLANE_ANGLE_UNIT()SI_UNIT($,.RADIAN.)); #2888=(NAMED_UNIT(*)SI_UNIT($,.STERADIAN.)SOLID_ANGLE_UNIT()); #2890=(GEOMETRIC_REPRESENTATION_CONTEXT(3)GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT(( #2889))GLOBAL_UNIT_ASSIGNED_CONTEXT((#2884,#2887,#2888))REPRESENTATION_CONTEXT( 'ID1','3')); #2891=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2883),#2890); #2898=PRODUCT_DEFINITION('part definition','',#2897,#2894); #2899=PRODUCT_DEFINITION_SHAPE('','SHAPE FOR ZBFT41.',#2898); #2900=SHAPE_ASPECT('','solid data associated with ZBFT41',#2899,.F.); #2901=PROPERTY_DEFINITION('', 'shape for solid data with which properties are associated',#2900); #2902=SHAPE_REPRESENTATION('',(#2883),#2890); #2903=SHAPE_DEFINITION_REPRESENTATION(#2901,#2902); #2904=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); #2908=PROPERTY_DEFINITION('geometric validation property','area of ZBFT41', #2900); #2909=REPRESENTATION('surface area',(#2907),#2890); #2910=PROPERTY_DEFINITION_REPRESENTATION(#2908,#2909); #2911=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); #2915=PROPERTY_DEFINITION('geometric validation property','volume of ZBFT41', #2900); #2916=REPRESENTATION('volume',(#2914),#2890); #2917=PROPERTY_DEFINITION_REPRESENTATION(#2915,#2916); #2919=PROPERTY_DEFINITION('geometric validation property','centroid of ZBFT41', #2900); #2920=REPRESENTATION('centroid',(#2918),#2890); #2921=PROPERTY_DEFINITION_REPRESENTATION(#2919,#2920); #2922=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); #2926=PROPERTY_DEFINITION('geometric validation property','area of ZBFT41', #2899); #2927=REPRESENTATION('surface area',(#2925),#2890); #2928=PROPERTY_DEFINITION_REPRESENTATION(#2926,#2927); #2929=(LENGTH_UNIT()NAMED_UNIT(*)SI_UNIT(.MILLI.,.METRE.)); #2933=PROPERTY_DEFINITION('geometric validation property','volume of ZBFT41', #2899); #2934=REPRESENTATION('volume',(#2932),#2890); #2935=PROPERTY_DEFINITION_REPRESENTATION(#2933,#2934); #2937=PROPERTY_DEFINITION('geometric validation property','centroid of ZBFT41', #2899); #2938=REPRESENTATION('centroid',(#2936),#2890); #2939=PROPERTY_DEFINITION_REPRESENTATION(#2937,#2938); #2940=SHAPE_DEFINITION_REPRESENTATION(#2899,#2891); #2942=PROPERTY_DEFINITION('REVISION','user defined attribute',#2898); #2946=REPRESENTATION('',(#2945),#2890); #2947=PROPERTY_DEFINITION_REPRESENTATION(#2942,#2946); #2948=PROPERTY_DEFINITION('TITLE1','user defined attribute',#2898); #2952=REPRESENTATION('',(#2951),#2890); #2953=PROPERTY_DEFINITION_REPRESENTATION(#2948,#2952); #2954=PROPERTY_DEFINITION('TITLE2','user defined attribute',#2898); #2958=REPRESENTATION('',(#2957),#2890); #2959=PROPERTY_DEFINITION_REPRESENTATION(#2954,#2958); #2960=PROPERTY_DEFINITION('ART_NO','user defined attribute',#2898); #2964=REPRESENTATION('',(#2963),#2890); #2965=PROPERTY_DEFINITION_REPRESENTATION(#2960,#2964); #2966=PROPERTY_DEFINITION('DESIGNER','user defined attribute',#2898); #2970=REPRESENTATION('',(#2969),#2890); #2971=PROPERTY_DEFINITION_REPRESENTATION(#2966,#2970); #2972=PROPERTY_DEFINITION('APPROVED','user defined attribute',#2898); #2976=REPRESENTATION('',(#2975),#2890); #2977=PROPERTY_DEFINITION_REPRESENTATION(#2972,#2976); #2978=PROPERTY_DEFINITION('REL_DATE','user defined attribute',#2898); #2982=REPRESENTATION('',(#2981),#2890); #2983=PROPERTY_DEFINITION_REPRESENTATION(#2978,#2982); #2984=PROPERTY_DEFINITION('TREATMENT','user defined attribute',#2898); #2988=REPRESENTATION('',(#2987),#2890); #2989=PROPERTY_DEFINITION_REPRESENTATION(#2984,#2988); #2990=PROPERTY_DEFINITION('WELD_TOL','user defined attribute',#2898); #2994=REPRESENTATION('',(#2993),#2890); #2995=PROPERTY_DEFINITION_REPRESENTATION(#2990,#2994); #2996=PROPERTY_DEFINITION('EDGE_CHAMFERS','user defined attribute',#2898); #3000=REPRESENTATION('',(#2999),#2890); #3001=PROPERTY_DEFINITION_REPRESENTATION(#2996,#3000); #3002=PROPERTY_DEFINITION('FILLETS_RADIUS','user defined attribute',#2898); #3006=REPRESENTATION('',(#3005),#2890); #3007=PROPERTY_DEFINITION_REPRESENTATION(#3002,#3006); #3008=PROPERTY_DEFINITION('DRW_NO','user defined attribute',#2898); #3012=REPRESENTATION('',(#3011),#2890); #3013=PROPERTY_DEFINITION_REPRESENTATION(#3008,#3012); #3014=PROPERTY_DEFINITION('PDMREV','user defined attribute',#2898); #3018=REPRESENTATION('',(#3017),#2890); #3019=PROPERTY_DEFINITION_REPRESENTATION(#3014,#3018); #3020=PROPERTY_DEFINITION('PDMDB','user defined attribute',#2898); #3024=REPRESENTATION('',(#3023),#2890); #3025=PROPERTY_DEFINITION_REPRESENTATION(#3020,#3024); #3026=PROPERTY_DEFINITION('PDMRL','user defined attribute',#2898); #3030=REPRESENTATION('',(#3029),#2890); #3031=PROPERTY_DEFINITION_REPRESENTATION(#3026,#3030); #3032=PROPERTY_DEFINITION('PROI_REVISION','user defined attribute',#2898); #3036=REPRESENTATION('',(#3035),#2890); #3037=PROPERTY_DEFINITION_REPRESENTATION(#3032,#3036); #3038=PROPERTY_DEFINITION('PROI_VERSION','user defined attribute',#2898); #3042=REPRESENTATION('',(#3041),#2890); #3043=PROPERTY_DEFINITION_REPRESENTATION(#3038,#3042); #3044=PROPERTY_DEFINITION('PROI_BRANCH','user defined attribute',#2898); #3048=REPRESENTATION('',(#3047),#2890); #3049=PROPERTY_DEFINITION_REPRESENTATION(#3044,#3048); #3050=PROPERTY_DEFINITION('PROI_RELEASE','user defined attribute',#2898); #3054=REPRESENTATION('',(#3053),#2890); #3055=PROPERTY_DEFINITION_REPRESENTATION(#3050,#3054); #3056=PROPERTY_DEFINITION('PTC_MODIFIED','user defined attribute',#2898); #3060=REPRESENTATION('',(#3059),#2890); #3061=PROPERTY_DEFINITION_REPRESENTATION(#3056,#3060); #3063=REPRESENTATION('',(#3062),#2890); #3064=PROPERTY_DEFINITION('attribute type designation','Yes No',#3057); #3065=PROPERTY_DEFINITION_REPRESENTATION(#3064,#3063); #3066=PROPERTY_DEFINITION('PROI_CREATED_BY','user defined attribute',#2898); #3070=REPRESENTATION('',(#3069),#2890); #3071=PROPERTY_DEFINITION_REPRESENTATION(#3066,#3070); #3072=PROPERTY_DEFINITION('PROI_CREATED_ON','user defined attribute',#2898); #3076=REPRESENTATION('',(#3075),#2890); #3077=PROPERTY_DEFINITION_REPRESENTATION(#3072,#3076); #3078=PROPERTY_DEFINITION('PROI_LOCATION','user defined attribute',#2898); #3082=REPRESENTATION('',(#3081),#2890); #3083=PROPERTY_DEFINITION_REPRESENTATION(#3078,#3082); #3084=PROPERTY_DEFINITION('PTC_COMMON_NAME','user defined attribute',#2898); #3088=REPRESENTATION('',(#3087),#2890); #3089=PROPERTY_DEFINITION_REPRESENTATION(#3084,#3088); #3090=PROPERTY_DEFINITION('OBSOLETE','user defined attribute',#2898); #3094=REPRESENTATION('',(#3093),#2890); #3095=PROPERTY_DEFINITION_REPRESENTATION(#3090,#3094); #3096=PROPERTY_DEFINITION('ADDRESS_1','user defined attribute',#2898); #3100=REPRESENTATION('',(#3099),#2890); #3101=PROPERTY_DEFINITION_REPRESENTATION(#3096,#3100); #3102=PROPERTY_DEFINITION('ADDRESS_2','user defined attribute',#2898); #3106=REPRESENTATION('',(#3105),#2890); #3107=PROPERTY_DEFINITION_REPRESENTATION(#3102,#3106); #3108=PROPERTY_DEFINITION('ADDRESS_3','user defined attribute',#2898); #3112=REPRESENTATION('',(#3111),#2890); #3113=PROPERTY_DEFINITION_REPRESENTATION(#3108,#3112); #3114=PROPERTY_DEFINITION('GENERAL_TOL','user defined attribute',#2898); #3118=REPRESENTATION('',(#3117),#2890); #3119=PROPERTY_DEFINITION_REPRESENTATION(#3114,#3118); #3120=PROPERTY_DEFINITION('MATERIAL','user defined attribute',#2898); #3124=REPRESENTATION('',(#3123),#2890); #3125=PROPERTY_DEFINITION_REPRESENTATION(#3120,#3124); #3126=PROPERTY_DEFINITION('SURFACE_FINISH','user defined attribute',#2898); #3130=REPRESENTATION('',(#3129),#2890); #3131=PROPERTY_DEFINITION_REPRESENTATION(#3126,#3130); #3132=PROPERTY_DEFINITION('MASS','user defined attribute',#2898); #3136=REPRESENTATION('',(#3135),#2890); #3137=PROPERTY_DEFINITION_REPRESENTATION(#3132,#3136); #3139=REPRESENTATION('',(#3138),#2890); #3140=PROPERTY_DEFINITION('attribute type designation','Real Number',#3133); #3141=PROPERTY_DEFINITION_REPRESENTATION(#3140,#3139); #3142=PROPERTY_DEFINITION('CUSTOMER_DRW_NO','user defined attribute',#2898); #3146=REPRESENTATION('',(#3145),#2890); #3147=PROPERTY_DEFINITION_REPRESENTATION(#3142,#3146); #3148=PROPERTY_DEFINITION('MANUFACTURER','user defined attribute',#2898); #3152=REPRESENTATION('',(#3151),#2890); #3153=PROPERTY_DEFINITION_REPRESENTATION(#3148,#3152); #3154=PROPERTY_DEFINITION('MFR_PART_NO','user defined attribute',#2898); #3158=REPRESENTATION('',(#3157),#2890); #3159=PROPERTY_DEFINITION_REPRESENTATION(#3154,#3158); #3160=PROPERTY_DEFINITION('PTC_MATERIAL_NAME','user defined attribute',#2898); #3164=REPRESENTATION('',(#3163),#2890); #3165=PROPERTY_DEFINITION_REPRESENTATION(#3160,#3164); #3166=PROPERTY_DEFINITION('PTC_WM_REVISION','user defined attribute',#2898); #3170=REPRESENTATION('',(#3169),#2890); #3171=PROPERTY_DEFINITION_REPRESENTATION(#3166,#3170); #3172=PROPERTY_DEFINITION('PTC_WM_ITERATION','user defined attribute',#2898); #3176=REPRESENTATION('',(#3175),#2890); #3177=PROPERTY_DEFINITION_REPRESENTATION(#3172,#3176); #3178=PROPERTY_DEFINITION('PTC_WM_VERSION','user defined attribute',#2898); #3182=REPRESENTATION('',(#3181),#2890); #3183=PROPERTY_DEFINITION_REPRESENTATION(#3178,#3182); #3184=PROPERTY_DEFINITION('PTC_WM_LIFECYCLE_STATE','user defined attribute', #2898); #3188=REPRESENTATION('',(#3187),#2890); #3189=PROPERTY_DEFINITION_REPRESENTATION(#3184,#3188); #3190=PROPERTY_DEFINITION('PTC_WM_LIFECYCLE','user defined attribute',#2898); #3194=REPRESENTATION('',(#3193),#2890); #3195=PROPERTY_DEFINITION_REPRESENTATION(#3190,#3194); #3196=PROPERTY_DEFINITION('PTC_WM_LOCATION','user defined attribute',#2898); #3200=REPRESENTATION('',(#3199),#2890); #3201=PROPERTY_DEFINITION_REPRESENTATION(#3196,#3200); #3202=PROPERTY_DEFINITION('PTC_WM_CREATED_BY','user defined attribute',#2898); #3206=REPRESENTATION('',(#3205),#2890); #3207=PROPERTY_DEFINITION_REPRESENTATION(#3202,#3206); #3208=PROPERTY_DEFINITION('PTC_WM_CREATED_ON','user defined attribute',#2898); #3212=REPRESENTATION('',(#3211),#2890); #3213=PROPERTY_DEFINITION_REPRESENTATION(#3208,#3212); #3214=PROPERTY_DEFINITION('PTC_WM_MODIFIED_BY','user defined attribute',#2898); #3218=REPRESENTATION('',(#3217),#2890); #3219=PROPERTY_DEFINITION_REPRESENTATION(#3214,#3218); #3220=PROPERTY_DEFINITION('PTC_WM_MODIFIED_ON','user defined attribute',#2898); #3224=REPRESENTATION('',(#3223),#2890); #3225=PROPERTY_DEFINITION_REPRESENTATION(#3220,#3224); #3226=PROPERTY_DEFINITION('PTC_WM_TEAM','user defined attribute',#2898); #3230=REPRESENTATION('',(#3229),#2890); #3231=PROPERTY_DEFINITION_REPRESENTATION(#3226,#3230); ENDSEC; END-ISO-10303-21;